Article ID: 000077890 Content Type: Troubleshooting Last Reviewed: 01/14/2022

Why don't statistic counters of Triple Speed Ethernet IP MegaCore ifOutErrors, ifOutUcastPkts, ifOutMulticastPkts and ifOutBroadcastPkts count drop packet which is caused by late collision and excessive collision?

Environment

  • Ethernet
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    The following patch provides a solution to take late collision and excessive collision occasions into consideration as the count-up condition for ifOutErrors, ifOutUcastPkts, ifOutMulticastPkts, and ifOutBroadcastPkts.

    Please download the appropriate Quartus® II software version 10.0SP1 patch 1.210 from the following links:

    Quartus II software version 10.0SP1 patch 1.210 for Windows

    Quartus II software version 10.0SP1 patch 1.210 for Linux

    Quartus II software version 10.0SP1 ReadMe for patch 1.210

    Caution:

    You must either have previously installed the Quartus II 10.0 SP1 Software or must install the Quartus II 10.0 SP1 Software before installing this patch. Otherwise, the patch will not be installed correctly and the Quartus II software will not run properly.

    After you install the patch please regenerate your Triple Speed Ethernet MegaCore® before you compile your design

    Resolution

    OR

    Please download the appropriate Quartus II software version 10.1SP1 patch 1.77 from the following links:

    Quartus II software version 10.1SP1 patch 1.77 for Windows

    Quartus II software version 10.1SP1 patch 1.77 for Linux

    Quartus II software version 10.1SP1 ReadMe for patch 1.77

    Caution:

    You must either have previously installed the Quartus II 10.1 SP1 Software or must install the Quartus II 10.1 SP1 Software before installing this patch. Otherwise, the patch will not be installed correctly and the Quartus II software will not run properly.

    After you install the patch, please regenerate your Triple Speed Ethernet MegaCore before you compile your design.

    Related Products

    This article applies to 1 products

    Intel® Programmable Devices