Semiconductors touch nearly every aspect of our lives, from the phones in our pockets to the cars we drive, and an increasing number of use cases demand AI processing capabilities.
To stay ahead of performance, power, and production demands, the industry is shifting from “system on a chip” designs to “systems of chips”—multi-die packages that mix and match IP, architectures, memory, and I/O.
Intel Foundry is the only global manufacturer with a systems foundry approach that offers a proven full-stack solution—IP, EDA, process nodes, and advanced packaging plus Intel Foundry Advanced System Assembly & Test (Intel Foundry ASAT)—for high-yield production of systems-of-chips designs.
Our systems foundry model gives fabless manufacturers guides, tools, and services for their silicon solutions plus access to Intel technologies for memory systems, interconnects, cooling, thermal management, networking, and software—all delivered through a resilient, sustainable, and secure supply chain.
Whether you need a discrete service or a complete solution, we meet you where you are in your system design journey.
Global Semiconductor Demand Exceeds Supply
The demand for essential semiconductors is growing rapidly, and demand for AI accelerators is growing faster.
3 Trillion Chips in 2024
Global manufacturers shipped 3 trillion semiconductor units in 2024, of which 1.4 billion were AI chips.1
18% Growth in 2024
In 2024, total semiconductor revenue was $626 billion.1
$84B in AI Chips in 2024
In 2024, total AI semiconductor revenue grew by 56% over 2023.2
Feeding AI is Revolutionizing Semiconductor Manufacturing
Generative AI is voracious. The demand for AI processors—and the supercomputing infrastructure that supports them—has the world’s foundries running non-stop. Accelerating processor performance, improving power efficiency, and increasing manufacturing capacity to match the scaling requirements of AI workloads will take next-level innovation.
AI Inspired. Systems Accelerated.
Disaggregated chiplet designs are among the most viable solutions for creating high-density, high-performance AI accelerators. Intel Foundry offers full-stack design and fab services plus global packaging and test facilities that can mass manufacture industrial quantities of complex systems-of-chips processors at high yields and competitive pricing.
Leading-Edge Process Technologies
Intel 18A
Intel 18A delivers an industry-first combination: RibbonFET gate-all-around (GAA) transistor architecture and PowerVia backside power delivery technology.
3D RibbonFET Transistors
New transistor architecture delivers faster switching speeds, improved performance per watt, enhanced electrostatic control, and greater efficiency compared to FinFET designs.
PowerVia Backside Power
Moving power delivery to the backside of the die reduces interconnect congestion, lowers IR drop, and improves power efficiency, enabling higher performance in advanced chips.
Packaging, Sort, and Test
>100 2.5D Designs Produced at Scale
Intel Foundry stands as the leading producer for advanced packaging with an extensive experience of more than 100 2.5D products in volume production and 3x the 2.5D capacity of all foundries.3 Our expertise extends to exceptionally large packages reaching up to six times the reticle limit with design dimensions up to 113 mm by 116 mm.
Mix and Match Chiplets from Multiple Fabs
Intel Foundry’s cutting-edge packaging supports chiplets from diverse technologies and foundries. This heterogeneous integration enables flexible upgrades and combinations of components, each optimized for its specific process node, to maximize performance and efficiency. Technologies like Embedded Multi-Die Interconnect Bridge (EMIB) allow integration of various vendor solutions, including logic, memory, and I/O, into custom systems of chips. This approach offers a cost-efficient alternative to monolithic ASICs.
Advanced 3D Packaging
Foveros Direct 3D uses hybrid bonding to deliver sub-10-micron bump pitches for increased interconnect density and higher-performance, stacked-chiplet designs.
Improved Manufacturing Yields
Advanced, multi-stage testing with die sort capabilities eliminates faulty dies early in the process, ensuring only known good dies advance to packaging and testing.
Rebalancing Supply and Demand With an Integrated, Global Model
Intel Foundry offers a broad range of leading-edge services, backed by a resilient, sustainable, and secure supply chain distributed throughout the world.
We are investing heavily in manufacturing capacity, including additional fab capacity investments in the US and EU.
Work With the Industry’s Most Trusted Leaders in an Open Ecosystem
More than 40 partners across seven alliances are active members of the Intel Foundry ecosystem. Bring the partners and architectures you trust to the Intel ecosystem; co-optimize your designs for Intel Foundry process nodes assembly, packaging, and test facilities; and get into production at a global scale.
EDA Alliance
Validated solutions optimized for Intel’s technology and manufacturing.
Cloud Alliance
Design-on-the-cloud with co-optimized solutions from leading cloud service providers and EDA partners.
IP Alliance
Silicon-verified, Intel-process-specific IP portfolio including standard cell libraries, embedded memories, general purpose I/Os, analog IP, and interface IP.
Design Services Alliance
Industry-defining design firms who are up to speed on all the technologies Intel Foundry and our ecosystem have to offer.
Chiplet Alliance
Optimizing the ecosystem to drive interoperable and secure chiplet implementation across a wide range of applications.
USMAG Alliance
The United States Military, Aerospace, and Government Alliance provides secure design and fabrication for national security and government applications.
Value Chain Alliance
Expertise across different applications, market segments, and geographies.
See Our Latest Partners
The Intel Foundry ecosystem is constantly growing. Get the latest updates online.