Intel® Quartus® Prime Pro Edition User Guide: Design Optimization

ID 683641
Date 12/04/2023
Public
Document Table of Contents

5.7.1. Initial Compilation Settings

Use the Intel® Quartus® Prime Settings File (.qsf) variable name in the Tcl assignment to make the setting along with the appropriate value. The Type column indicates whether the setting is supported as a global setting, an instance setting, or both.

The top table lists the .qsf variable name and applicable values for the settings described in the Initial Compilation: Required Settings section in the Design Optimization Overview chapter. The bottom table lists the advanced compilation settings.

Table 35.  Initial Compilation Settings
Setting Name .qsf File Variable Name Values Type
Optimize IOC Register Placement For Timing OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ON, OFF Global
Optimize Hold Timing OPTIMIZE_HOLD_TIMING OFF, IO PATHS AND MINIMUM TPD PATHS, ALL PATHS Global
Table 36.  Advanced Compilation Settings
Setting Name .qsf File Variable Name Values Type
Router Timing Optimization level ROUTER_TIMING_OPTIMIZATION_LEVEL NORMAL, MINIMUM, MAXIMUM Global