Intel® Quartus® Prime Standard Edition: Version 22.1std Software and Device Support Release Notes

ID 683593
Date 12/05/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.2.2. Removed Features and Functions

The functions and features listed in this section have been removed from Intel® Quartus® Prime Standard Edition Version 22.1std .1 or earlier.

Features and Functions Removed from Intel® Quartus® Prime Standard Edition Version 22.1std.1

No Intel® Quartus® Prime features or functions have been removed from Intel® Quartus® Prime Standard Edition Version 22.1.1.

Features and Functions Removed from Intel® Quartus® Prime Standard Edition Version 22.1std

No Intel® Quartus® Prime features or functions have been removed from Intel® Quartus® Prime Standard Edition Version 22.1.

Features and Functions Removed from Intel® Quartus® Prime Standard Edition Version 21.1.1

No Intel® Quartus® Prime features or functions have been removed from Intel® Quartus® Prime Standard Edition Version 21.1.1.

Features and Functions Removed from Intel® Quartus® Prime Standard Edition Version 21.1

  • Removed ModelSim*-Intel® FPGA Edition and ModelSim*-Intel® FPGA Starter Edition

    This simulation software has been replaced by Questa*-Intel® FPGA Edition and Questa*-Intel® FPGA Starter Edition respectively.

  • Removed support for 32-bit simulation software.
    This change removes support for the following simulation tools:
    • Aldec* Active-HDL* (32-bit)

      Use a 64-bit version of Aldec* Active-HDL* or use Aldec* Riviera-PRO* instead.

    • Mentor Graphics* ModelSim* PE

      Use Siemens* EDA ModelSim* SE or Siemens* EDA Questa* Advanced Simulator instead.

  • Removed NicheStack TCP/IP Stack support.
  • Removed support for Cadence* Incisive* Enterprise Simulator (IES).

Features and Functions Removed from Intel® Quartus® Prime Standard Edition Version 20.1

Support for the following software has been removed from Intel® Quartus® Prime Standard Edition Version 20.1 and later:
  • DSP Builder for Intel FPGAs
  • Intel® FPGA SDK for OpenCL™ *
  • Intel® FPGA RTE for OpenCL™
  • Intel® High-Level Synthesis (HLS) Compiler
* OpenCL and the OpenCL logo are trademarks of Apple Inc. used by permission of the Khronos Group™