1.1. Benefits of Command-Line Executables
1.2. Command-Line Scripting Help
1.3. Project Settings with Command-Line Options
1.4. Compilation with quartus_sh --flow
1.5. Text-Based Report Files
1.6. Using Command-Line Executables in Scripts
1.7. Common Scripting Examples
1.8. The QFlow Script
1.9. Command-Line Scripting Revision History
1.7.1. Create a Project and Apply Constraints
1.7.2. Check Design File Syntax
1.7.3. Create a Project and Synthesize a Netlist Using Netlist Optimizations
1.7.4. Archive and Restore Projects
1.7.5. Update Memory Contents Without Recompiling
1.7.6. Create Device Configuration Files
1.7.7. Fit a Design Using Different Fitter Seeds
2.1. Tool Command Language
2.2. The Quartus® Prime Tcl Console Window
2.3. Quartus® Prime Tcl Packages
2.4. Tcl Design Flow Controls
2.5. Automating Script Execution
2.6. Other Scripting Features
2.7. The Quartus® Prime Tcl Shell in Interactive Mode Example
2.8. The tclsh Shell
2.9. Tcl Scripting Basic Examples
2.10. Tcl Scripting Revision History
2.6.4.1. Design Entry (all names) Filter
2.6.4.2. Pins: assigned Filter
2.6.4.3. Pins: unassigned Filter
2.6.4.4. Pins: input Filter
2.6.4.5. Pins: output Filter
2.6.4.6. Pins: bidirectional Filter
2.6.4.7. Pins: virtual Filter
2.6.4.8. Pins: all Filter
2.6.4.9. Pins: all & Registers: post-fitting Filter
2.6.4.10. Ports: partition
2.6.4.11. Entity instance: pre-synthesis Filter
2.6.4.12. Registers: pre-synthesis Filter
2.6.4.13. Registers: post-fitting Filter
2.6.4.14. Post-synthesis Filter
2.6.4.15. Post-Compilation Filter
2.6.4.16. Signal Tap: pre-synthesis Filter
2.6.4.17. Signal Tap: post-fitting Filter
4.1.1. ::quartus::backannotate
4.1.2. ::quartus::board
4.1.3. ::quartus::bpps
4.1.4. ::quartus::dcmd_dni
4.1.5. ::quartus::design
4.1.6. ::quartus::device
4.1.7. ::quartus::dni_sdc
4.1.8. ::quartus::drc
4.1.9. ::quartus::eco
4.1.10. ::quartus::external_memif_toolkit
4.1.11. ::quartus::fif
4.1.12. ::quartus::flng
4.1.13. ::quartus::flow
4.1.14. ::quartus::insystem_memory_edit
4.1.15. ::quartus::insystem_source_probe
4.1.16. ::quartus::interactive_synthesis
4.1.17. ::quartus::ipdrc
4.1.18. ::quartus::ipgen
4.1.19. ::quartus::iptclgen
4.1.20. ::quartus::jtag
4.1.21. ::quartus::logic_analyzer_interface
4.1.22. ::quartus::misc
4.1.23. ::quartus::names
4.1.24. ::quartus::noc
4.1.25. ::quartus::noceq
4.1.26. ::quartus::periph
4.1.27. ::quartus::proj_asgn
4.1.28. ::quartus::project
4.1.29. ::quartus::project2
4.1.30. ::quartus::project_ui
4.1.31. ::quartus::qed
4.1.32. ::quartus::qmtf
4.1.33. ::quartus::qshm
4.1.34. ::quartus::report
4.1.35. ::quartus::rpc
4.1.36. ::quartus::sdc
4.1.37. ::quartus::sdc_ext
4.1.38. ::quartus::sta
4.1.39. ::quartus::stp
4.1.40. ::quartus::tdc
4.1.2.1. activate_link (::quartus::board)
4.1.2.2. check_online_design_validity (::quartus::board)
4.1.2.3. create_metadata (::quartus::board)
4.1.2.4. create_metadata_template (::quartus::board)
4.1.2.5. deploy_par_file (::quartus::board)
4.1.2.6. download_par_file (::quartus::board)
4.1.2.7. get_board_design_path (::quartus::board)
4.1.2.8. get_board_devkits (::quartus::board)
4.1.2.9. get_board_families (::quartus::board)
4.1.2.10. get_board_info (::quartus::board)
4.1.2.11. get_board_vendors (::quartus::board)
4.1.2.12. get_design_categories (::quartus::board)
4.1.2.13. get_design_description (::quartus::board)
4.1.2.14. get_design_development_kits (::quartus::board)
4.1.2.15. get_design_documents_info (::quartus::board)
4.1.2.16. get_design_download_link (::quartus::board)
4.1.2.17. get_design_families (::quartus::board)
4.1.2.18. get_design_info (::quartus::board)
4.1.2.19. get_design_quartus_versions (::quartus::board)
4.1.2.20. get_design_rich_description (::quartus::board)
4.1.2.21. get_ui_file (::quartus::board)
4.1.2.22. launch_qsys (::quartus::board)
4.1.2.23. load_design_info (::quartus::board)
4.1.2.24. reset_board_info (::quartus::board)
4.1.2.25. validate_metadata (::quartus::board)
4.1.3.1. bpps::apply_assignments (::quartus::bpps)
4.1.3.2. bpps::check_plan (::quartus::bpps)
4.1.3.3. bpps::export_constraints_to_qsf (::quartus::bpps)
4.1.3.4. bpps::get_cell_info (::quartus::bpps)
4.1.3.5. bpps::get_device (::quartus::bpps)
4.1.3.6. bpps::get_hdbpath_from_id (::quartus::bpps)
4.1.3.7. bpps::get_id_from_hdbpath (::quartus::bpps)
4.1.3.8. bpps::get_location_info (::quartus::bpps)
4.1.3.9. bpps::get_placement (::quartus::bpps)
4.1.3.10. bpps::get_placement_info (::quartus::bpps)
4.1.3.11. bpps::get_placements (::quartus::bpps)
4.1.3.12. bpps::get_placements_of_group (::quartus::bpps)
4.1.3.13. bpps::harden_cell (::quartus::bpps)
4.1.3.14. bpps::harden_cells (::quartus::bpps)
4.1.3.15. bpps::initialize (::quartus::bpps)
4.1.3.16. bpps::load_floorplan (::quartus::bpps)
4.1.3.17. bpps::place_cells (::quartus::bpps)
4.1.3.18. bpps::read_tpl_placement (::quartus::bpps)
4.1.3.19. bpps::remove_invalid_reports (::quartus::bpps)
4.1.3.20. bpps::report_all (::quartus::bpps)
4.1.3.21. bpps::report_cell_connectivity (::quartus::bpps)
4.1.3.22. bpps::report_cell_placement_reasons (::quartus::bpps)
4.1.3.23. bpps::report_cells (::quartus::bpps)
4.1.3.24. bpps::report_clocks (::quartus::bpps)
4.1.3.25. bpps::report_legal_cell_locations (::quartus::bpps)
4.1.3.26. bpps::report_location_types (::quartus::bpps)
4.1.3.27. bpps::report_locations (::quartus::bpps)
4.1.3.28. bpps::report_regions (::quartus::bpps)
4.1.3.29. bpps::report_summary (::quartus::bpps)
4.1.3.30. bpps::reset_plan (::quartus::bpps)
4.1.3.31. bpps::save_floorplan (::quartus::bpps)
4.1.3.32. bpps::save_pin_assignments (::quartus::bpps)
4.1.3.33. bpps::select_dr_ips (::quartus::bpps)
4.1.3.34. bpps::set_mode (::quartus::bpps)
4.1.3.35. bpps::shutdown (::quartus::bpps)
4.1.3.36. bpps::soften_cell (::quartus::bpps)
4.1.3.37. bpps::soften_cells (::quartus::bpps)
4.1.3.38. bpps::undo_last_placement (::quartus::bpps)
4.1.3.39. bpps::unplace_cells (::quartus::bpps)
4.1.3.40. bpps::update_pdpw (::quartus::bpps)
4.1.3.41. bpps::validate_placement (::quartus::bpps)
4.1.3.42. bpps::write_plan (::quartus::bpps)
4.1.3.43. bpps::write_tpl_placement (::quartus::bpps)
4.1.4.1. dni::add_to_collection (::quartus::dcmd_dni)
4.1.4.2. dni::all_clocks (::quartus::dcmd_dni)
4.1.4.3. dni::all_fanin (::quartus::dcmd_dni)
4.1.4.4. dni::all_fanout (::quartus::dcmd_dni)
4.1.4.5. dni::all_inputs (::quartus::dcmd_dni)
4.1.4.6. dni::all_outputs (::quartus::dcmd_dni)
4.1.4.7. dni::all_registers (::quartus::dcmd_dni)
4.1.4.8. dni::append_to_collection (::quartus::dcmd_dni)
4.1.4.9. dni::color (::quartus::dcmd_dni)
4.1.4.10. dni::copy_collection (::quartus::dcmd_dni)
4.1.4.11. dni::create_clock (::quartus::dcmd_dni)
4.1.4.12. dni::current_design (::quartus::dcmd_dni)
4.1.4.13. dni::current_instance (::quartus::dcmd_dni)
4.1.4.14. dni::delete_stale_sandboxes (::quartus::dcmd_dni)
4.1.4.15. dni::filter_collection (::quartus::dcmd_dni)
4.1.4.16. dni::get_cells (::quartus::dcmd_dni)
4.1.4.17. dni::get_clocks (::quartus::dcmd_dni)
4.1.4.18. dni::get_designs (::quartus::dcmd_dni)
4.1.4.19. dni::get_generated_clocks (::quartus::dcmd_dni)
4.1.4.20. dni::get_nets (::quartus::dcmd_dni)
4.1.4.21. dni::get_pins (::quartus::dcmd_dni)
4.1.4.22. dni::get_ports (::quartus::dcmd_dni)
4.1.4.23. dni::get_property (::quartus::dcmd_dni)
4.1.4.24. dni::highlight (::quartus::dcmd_dni)
4.1.4.25. dni::index_collection (::quartus::dcmd_dni)
4.1.4.26. dni::is_dni_mode (::quartus::dcmd_dni)
4.1.4.27. dni::is_dni_mode_for_developer_testing (::quartus::dcmd_dni)
4.1.4.28. dni::list_properties (::quartus::dcmd_dni)
4.1.4.29. dni::load_design (::quartus::dcmd_dni)
4.1.4.30. dni::read_sdc (::quartus::dcmd_dni)
4.1.4.31. dni::remove_from_collection (::quartus::dcmd_dni)
4.1.4.32. dni::selection (::quartus::dcmd_dni)
4.1.4.33. dni::set_property (::quartus::dcmd_dni)
4.1.4.34. dni::set_time_format (::quartus::dcmd_dni)
4.1.4.35. dni::set_time_unit (::quartus::dcmd_dni)
4.1.4.36. dni::sizeof_collection (::quartus::dcmd_dni)
4.1.4.37. dni::sort_collection (::quartus::dcmd_dni)
4.1.4.38. dni::unload_design (::quartus::dcmd_dni)
4.1.4.39. dni::write_sdc (::quartus::dcmd_dni)
4.1.5.1. design::commit_design (::quartus::design)
4.1.5.2. design::convert_partition (::quartus::design)
4.1.5.3. design::create_assignment (::quartus::design)
4.1.5.4. design::delete_assignments (::quartus::design)
4.1.5.5. design::disable_assignments (::quartus::design)
4.1.5.6. design::enable_assignments (::quartus::design)
4.1.5.7. design::export_design (::quartus::design)
4.1.5.8. design::export_partition (::quartus::design)
4.1.5.9. design::extract_metadata (::quartus::design)
4.1.5.10. design::get_assignment_info (::quartus::design)
4.1.5.11. design::get_assignment_names (::quartus::design)
4.1.5.12. design::get_assignments (::quartus::design)
4.1.5.13. design::get_entity_names (::quartus::design)
4.1.5.14. design::get_instances (::quartus::design)
4.1.5.15. design::import_design (::quartus::design)
4.1.5.16. design::import_partition (::quartus::design)
4.1.5.17. design::list_valid_snapshot_names (::quartus::design)
4.1.5.18. design::load_design (::quartus::design)
4.1.5.19. design::report_assignments (::quartus::design)
4.1.5.20. design::set_assignment_info (::quartus::design)
4.1.7.1. dni::create_generated_clock (::quartus::dni_sdc)
4.1.7.2. dni::set_clock_groups (::quartus::dni_sdc)
4.1.7.3. dni::set_clock_latency (::quartus::dni_sdc)
4.1.7.4. dni::set_clock_uncertainty (::quartus::dni_sdc)
4.1.7.5. dni::set_data_delay (::quartus::dni_sdc)
4.1.7.6. dni::set_disable_timing (::quartus::dni_sdc)
4.1.7.7. dni::set_false_path (::quartus::dni_sdc)
4.1.7.8. dni::set_input_delay (::quartus::dni_sdc)
4.1.7.9. dni::set_input_transition (::quartus::dni_sdc)
4.1.7.10. dni::set_max_delay (::quartus::dni_sdc)
4.1.7.11. dni::set_max_skew (::quartus::dni_sdc)
4.1.7.12. dni::set_max_time_borrow (::quartus::dni_sdc)
4.1.7.13. dni::set_min_delay (::quartus::dni_sdc)
4.1.7.14. dni::set_multicycle_path (::quartus::dni_sdc)
4.1.7.15. dni::set_net_delay (::quartus::dni_sdc)
4.1.7.16. dni::set_operating_conditions (::quartus::dni_sdc)
4.1.7.17. dni::set_output_delay (::quartus::dni_sdc)
4.1.7.18. dni::set_sense (::quartus::dni_sdc)
4.1.7.19. dni::set_timing_derate (::quartus::dni_sdc)
4.1.8.1. drc::add_check_op (::quartus::drc)
4.1.8.2. drc::add_check_parameter (::quartus::drc)
4.1.8.3. drc::add_object (::quartus::drc)
4.1.8.4. drc::add_object_with_properties (::quartus::drc)
4.1.8.5. drc::add_property (::quartus::drc)
4.1.8.6. drc::add_rule (::quartus::drc)
4.1.8.7. drc::add_rule_violation (::quartus::drc)
4.1.8.8. drc::add_violation_record (::quartus::drc)
4.1.8.9. drc::add_waiver (::quartus::drc)
4.1.8.10. drc::check_design (::quartus::drc)
4.1.8.11. drc::delete_waivers (::quartus::drc)
4.1.8.12. drc::get_objects (::quartus::drc)
4.1.8.13. drc::get_option (::quartus::drc)
4.1.8.14. drc::get_property (::quartus::drc)
4.1.8.15. drc::get_stage_info (::quartus::drc)
4.1.8.16. drc::get_waivers (::quartus::drc)
4.1.8.17. drc::list_properties (::quartus::drc)
4.1.8.18. drc::report_waivers (::quartus::drc)
4.1.8.19. drc::set_option (::quartus::drc)
4.1.8.20. drc::set_property (::quartus::drc)
4.1.8.21. drc::should_run_drc (::quartus::drc)
4.1.8.22. drc::update_check_op (::quartus::drc)
4.1.8.23. drc::update_rule (::quartus::drc)
4.1.9.1. adjust_pll_refclk (::quartus::eco)
4.1.9.2. create_new_node (::quartus::eco)
4.1.9.3. create_wirelut (::quartus::eco)
4.1.9.4. eco_reroute (::quartus::eco)
4.1.9.5. eco_unload_design (::quartus::eco)
4.1.9.6. fitter_report_timing (::quartus::eco)
4.1.9.7. fitter_timing_summary (::quartus::eco)
4.1.9.8. get_available_snapshots (::quartus::eco)
4.1.9.9. get_eco_checkpoint (::quartus::eco)
4.1.9.10. get_loaded_snapshot (::quartus::eco)
4.1.9.11. get_lutmask_equation (::quartus::eco)
4.1.9.12. get_node_location (::quartus::eco)
4.1.9.13. make_connection (::quartus::eco)
4.1.9.14. modify_io_current_strength (::quartus::eco)
4.1.9.15. modify_io_delay_chain (::quartus::eco)
4.1.9.16. modify_io_slew_rate (::quartus::eco)
4.1.9.17. modify_lutmask (::quartus::eco)
4.1.9.18. place_node (::quartus::eco)
4.1.9.19. remove_connection (::quartus::eco)
4.1.9.20. remove_node (::quartus::eco)
4.1.9.21. report_connections (::quartus::eco)
4.1.9.22. report_legal_locations (::quartus::eco)
4.1.9.23. report_nodes_at_location (::quartus::eco)
4.1.9.24. eco::report_partitions (::quartus::eco)
4.1.9.25. report_ports (::quartus::eco)
4.1.9.26. report_routing (::quartus::eco)
4.1.9.27. report_unplaced_nodes (::quartus::eco)
4.1.9.28. restore_eco_checkpoint (::quartus::eco)
4.1.9.29. unplace_node (::quartus::eco)
4.1.9.30. update_mif_files (::quartus::eco)
4.1.10.1. apply_setting (::quartus::external_memif_toolkit)
4.1.10.2. calibrate_termination (::quartus::external_memif_toolkit)
4.1.10.3. configure_driver (::quartus::external_memif_toolkit)
4.1.10.4. create_connection_report (::quartus::external_memif_toolkit)
4.1.10.5. create_toolkit_report (::quartus::external_memif_toolkit)
4.1.10.6. driver_margining (::quartus::external_memif_toolkit)
4.1.10.7. establish_connection (::quartus::external_memif_toolkit)
4.1.10.8. generate_eye_diagram (::quartus::external_memif_toolkit)
4.1.10.9. get_connection_commands (::quartus::external_memif_toolkit)
4.1.10.10. get_connection_info (::quartus::external_memif_toolkit)
4.1.10.11. get_connection_interfaces (::quartus::external_memif_toolkit)
4.1.10.12. get_connection_report_info (::quartus::external_memif_toolkit)
4.1.10.13. get_connection_report_types (::quartus::external_memif_toolkit)
4.1.10.14. get_connection_types (::quartus::external_memif_toolkit)
4.1.10.15. get_connections (::quartus::external_memif_toolkit)
4.1.10.16. get_device_names (::quartus::external_memif_toolkit)
4.1.10.17. get_hardware_names (::quartus::external_memif_toolkit)
4.1.10.18. get_setting_types (::quartus::external_memif_toolkit)
4.1.10.19. get_toolkit_report_types (::quartus::external_memif_toolkit)
4.1.10.20. initialize_connections (::quartus::external_memif_toolkit)
4.1.10.21. link_project_to_device (::quartus::external_memif_toolkit)
4.1.10.22. read_setting (::quartus::external_memif_toolkit)
4.1.10.23. reindex_connections (::quartus::external_memif_toolkit)
4.1.10.24. reset_tg2 (::quartus::external_memif_toolkit)
4.1.10.25. run_connection_command (::quartus::external_memif_toolkit)
4.1.10.26. set_active_interface (::quartus::external_memif_toolkit)
4.1.10.27. set_stress_pattern (::quartus::external_memif_toolkit)
4.1.10.28. terminate_connection (::quartus::external_memif_toolkit)
4.1.10.29. terminate_connections (::quartus::external_memif_toolkit)
4.1.10.30. unlink_project_from_device (::quartus::external_memif_toolkit)
4.1.10.31. write_connection_target_report (::quartus::external_memif_toolkit)
4.1.11.1. check (::quartus::fif)
4.1.11.2. dump (::quartus::fif)
4.1.11.3. dump_cram_frame (::quartus::fif)
4.1.11.4. dump_mem (::quartus::fif)
4.1.11.5. dump_pr_bitstream (::quartus::fif)
4.1.11.6. generate (::quartus::fif)
4.1.11.7. get_frame_count (::quartus::fif)
4.1.11.8. get_frame_size (::quartus::fif)
4.1.11.9. get_sector_information_sdm_based_fpga (::quartus::fif)
4.1.11.10. get_sensitive_location (::quartus::fif)
4.1.11.11. get_sensitive_location_sdm_based_fpga (::quartus::fif)
4.1.11.12. setup (::quartus::fif)
4.1.11.13. setup_sdm_based_fpga (::quartus::fif)
4.1.11.14. terminate (::quartus::fif)
4.1.12.1. flng::add_object (::quartus::flng)
4.1.12.2. flng::add_property (::quartus::flng)
4.1.12.3. flng::bind_flow (::quartus::flng)
4.1.12.4. flng::delete_object (::quartus::flng)
4.1.12.5. flng::get_default_flow_run_name (::quartus::flng)
4.1.12.6. flng::get_flow_list (::quartus::flng)
4.1.12.7. flng::get_next_available_id (::quartus::flng)
4.1.12.8. flng::get_object (::quartus::flng)
4.1.12.9. flng::get_objects (::quartus::flng)
4.1.12.10. flng::get_option (::quartus::flng)
4.1.12.11. flng::get_property (::quartus::flng)
4.1.12.12. flng::get_task_command (::quartus::flng)
4.1.12.13. flng::get_task_status_property (::quartus::flng)
4.1.12.14. flng::init_repository (::quartus::flng)
4.1.12.15. flng::list_properties (::quartus::flng)
4.1.12.16. flng::monitor_flow (::quartus::flng)
4.1.12.17. flng::run_flow (::quartus::flng)
4.1.12.18. flng::run_flow_command (::quartus::flng)
4.1.12.19. flng::set_option (::quartus::flng)
4.1.12.20. flng::set_property (::quartus::flng)
4.1.12.21. flng::write_task_assignment_digest (::quartus::flng)
4.1.12.22. flng::write_task_checkpoint_written (::quartus::flng)
4.1.12.23. flng::write_task_finished (::quartus::flng)
4.1.12.24. flng::write_task_started (::quartus::flng)
4.1.14.1. begin_memory_edit (::quartus::insystem_memory_edit)
4.1.14.2. end_memory_edit (::quartus::insystem_memory_edit)
4.1.14.3. get_editable_mem_instances (::quartus::insystem_memory_edit)
4.1.14.4. read_content_from_memory (::quartus::insystem_memory_edit)
4.1.14.5. save_content_from_memory_to_file (::quartus::insystem_memory_edit)
4.1.14.6. update_content_to_memory_from_file (::quartus::insystem_memory_edit)
4.1.14.7. write_content_to_memory (::quartus::insystem_memory_edit)
4.1.15.1. end_insystem_source_probe (::quartus::insystem_source_probe)
4.1.15.2. get_insystem_source_probe_instance_info (::quartus::insystem_source_probe)
4.1.15.3. read_probe_data (::quartus::insystem_source_probe)
4.1.15.4. read_source_data (::quartus::insystem_source_probe)
4.1.15.5. start_insystem_source_probe (::quartus::insystem_source_probe)
4.1.15.6. write_source_data (::quartus::insystem_source_probe)
4.1.17.1. ipdrc::get_device_speed (::quartus::ipdrc)
4.1.17.2. ipdrc::get_ip_hpaths (::quartus::ipdrc)
4.1.17.3. ipdrc::get_ip_name (::quartus::ipdrc)
4.1.17.4. ipdrc::get_ip_pma_modulation (::quartus::ipdrc)
4.1.17.5. ipdrc::get_ip_speed (::quartus::ipdrc)
4.1.17.6. ipdrc::get_ip_type (::quartus::ipdrc)
4.1.17.7. ipdrc::get_ip_xcvr_type (::quartus::ipdrc)
4.1.17.8. ipdrc::set_ip_info (::quartus::ipdrc)
4.1.20.1. close_device (::quartus::jtag)
4.1.20.2. device_dr_shift (::quartus::jtag)
4.1.20.3. device_ir_shift (::quartus::jtag)
4.1.20.4. device_lock (::quartus::jtag)
4.1.20.5. device_run_test_idle (::quartus::jtag)
4.1.20.6. device_unlock (::quartus::jtag)
4.1.20.7. device_virtual_dr_shift (::quartus::jtag)
4.1.20.8. device_virtual_ir_shift (::quartus::jtag)
4.1.20.9. get_device_names (::quartus::jtag)
4.1.20.10. get_hardware_names (::quartus::jtag)
4.1.20.11. open_device (::quartus::jtag)
4.1.21.1. begin_logic_analyzer_interface_control (::quartus::logic_analyzer_interface)
4.1.21.2. change_bank_to_output_pin (::quartus::logic_analyzer_interface)
4.1.21.3. end_logic_analyzer_interface_control (::quartus::logic_analyzer_interface)
4.1.21.4. get_current_state_of_output_pin (::quartus::logic_analyzer_interface)
4.1.21.5. tristate_output_pin (::quartus::logic_analyzer_interface)
4.1.22.1. checksum (::quartus::misc)
4.1.22.2. disable_natural_bus_naming (::quartus::misc)
4.1.22.3. enable_natural_bus_naming (::quartus::misc)
4.1.22.4. escape_brackets (::quartus::misc)
4.1.22.5. foreach_in_collection (::quartus::misc)
4.1.22.6. get_collection_size (::quartus::misc)
4.1.22.7. get_environment_info (::quartus::misc)
4.1.22.8. get_message_count (::quartus::misc)
4.1.22.9. init_tk (::quartus::misc)
4.1.22.10. load (::quartus::misc)
4.1.22.11. load_package (::quartus::misc)
4.1.22.12. post_message (::quartus::misc)
4.1.22.13. qerror (::quartus::misc)
4.1.22.14. qexec (::quartus::misc)
4.1.22.15. qexit (::quartus::misc)
4.1.22.16. record_tcl_cmd (::quartus::misc)
4.1.22.17. stopwatch (::quartus::misc)
4.1.24.1. get_noc_connection_info (::quartus::noc)
4.1.24.2. get_noc_connections (::quartus::noc)
4.1.24.3. get_noc_target_info (::quartus::noc)
4.1.24.4. get_noc_targets (::quartus::noc)
4.1.24.5. set_max_bw_objective (::quartus::noc)
4.1.24.6. set_max_distance_from_hint (::quartus::noc)
4.1.24.7. set_max_latency_objective (::quartus::noc)
4.1.24.8. set_noc_bridge_max_bandwidth (::quartus::noc)
4.1.24.9. set_noc_connection_bandwidth (::quartus::noc)
4.1.24.10. set_noc_connection_latency (::quartus::noc)
4.1.24.11. set_total_bw_objective (::quartus::noc)
4.1.24.12. set_total_distance_from_hint (::quartus::noc)
4.1.24.13. set_total_latency_objective (::quartus::noc)
4.1.25.1. get_base_address (::quartus::noceq)
4.1.25.2. get_group (::quartus::noceq)
4.1.25.3. get_niu (::quartus::noceq)
4.1.25.4. is_connected (::quartus::noceq)
4.1.25.5. remove_group (::quartus::noceq)
4.1.25.6. set_base_address (::quartus::noceq)
4.1.25.7. set_connection (::quartus::noceq)
4.1.25.8. set_connections (::quartus::noceq)
4.1.25.9. set_group (::quartus::noceq)
4.1.25.10. unload (::quartus::noceq)
4.1.26.1. periph::check_plan (::quartus::periph)
4.1.26.2. periph::get_cell_info (::quartus::periph)
4.1.26.3. periph::get_cells (::quartus::periph)
4.1.26.4. periph::get_location_info (::quartus::periph)
4.1.26.5. periph::get_placement_info (::quartus::periph)
4.1.26.6. periph::get_placements (::quartus::periph)
4.1.26.7. blueprint::initialize (::quartus::periph)
4.1.26.8. periph::load_floorplan (::quartus::periph)
4.1.26.9. periph::place_cells (::quartus::periph)
4.1.26.10. periph::remove_invalid_reports (::quartus::periph)
4.1.26.11. periph::report_all (::quartus::periph)
4.1.26.12. periph::report_cell_connectivity (::quartus::periph)
4.1.26.13. periph::report_cell_placement_reasons (::quartus::periph)
4.1.26.14. periph::report_cells (::quartus::periph)
4.1.26.15. periph::report_clocks (::quartus::periph)
4.1.26.16. periph::report_legal_cell_locations (::quartus::periph)
4.1.26.17. periph::report_location_types (::quartus::periph)
4.1.26.18. periph::report_locations (::quartus::periph)
4.1.26.19. periph::report_noc_performance (::quartus::periph)
4.1.26.20. periph::report_regions (::quartus::periph)
4.1.26.21. periph::report_summary (::quartus::periph)
4.1.26.22. periph::reset_plan (::quartus::periph)
4.1.26.23. periph::save_floorplan (::quartus::periph)
4.1.26.24. periph::set_clock_type (::quartus::periph)
4.1.26.25. blueprint::shutdown (::quartus::periph)
4.1.26.26. periph::undo_last_placement (::quartus::periph)
4.1.26.27. periph::unplace_cells (::quartus::periph)
4.1.26.28. periph::update_pdpw (::quartus::periph)
4.1.26.29. periph::update_plan (::quartus::periph)
4.1.26.30. periph::write_plan (::quartus::periph)
4.1.27.1. create_revision (::quartus::proj_asgn)
4.1.27.2. generate_project_tcl (::quartus::proj_asgn)
4.1.27.3. get_name_info (::quartus::proj_asgn)
4.1.27.4. get_names (::quartus::proj_asgn)
4.1.27.5. get_top_level_entity (::quartus::proj_asgn)
4.1.27.6. is_fitter_in_qhd_mode (::quartus::proj_asgn)
4.1.28.1. close_side_revision (::quartus::project)
4.1.28.2. create_revision (::quartus::project)
4.1.28.3. delete_revision (::quartus::project)
4.1.28.4. execute_assignment_batch (::quartus::project)
4.1.28.5. export_assignments (::quartus::project)
4.1.28.6. generate_project_tcl (::quartus::project)
4.1.28.7. get_all_assignment_names (::quartus::project)
4.1.28.8. get_all_assignments (::quartus::project)
4.1.28.9. get_all_global_assignments (::quartus::project)
4.1.28.10. get_all_instance_assignments (::quartus::project)
4.1.28.11. get_all_parameters (::quartus::project)
4.1.28.12. get_all_quartus_defaults (::quartus::project)
4.1.28.13. get_all_user_option_names (::quartus::project)
4.1.28.14. get_assignment_info (::quartus::project)
4.1.28.15. get_assignment_name_info (::quartus::project)
4.1.28.16. get_current_project (::quartus::project)
4.1.28.17. get_current_revision (::quartus::project)
4.1.28.18. get_database_version (::quartus::project)
4.1.28.19. get_global_assignment (::quartus::project)
4.1.28.20. get_instance_assignment (::quartus::project)
4.1.28.21. get_location_assignment (::quartus::project)
4.1.28.22. get_name_info (::quartus::project)
4.1.28.23. get_names (::quartus::project)
4.1.28.24. get_parameter (::quartus::project)
4.1.28.25. get_project_directory (::quartus::project)
4.1.28.26. get_project_revisions (::quartus::project)
4.1.28.27. get_revision_description (::quartus::project)
4.1.28.28. get_top_level_entity (::quartus::project)
4.1.28.29. get_user_option (::quartus::project)
4.1.28.30. is_database_version_compatible (::quartus::project)
4.1.28.31. is_fitter_in_qhd_mode (::quartus::project)
4.1.28.32. is_project_open (::quartus::project)
4.1.28.33. open_side_revision (::quartus::project)
4.1.28.34. project_archive (::quartus::project)
4.1.28.35. project_clean (::quartus::project)
4.1.28.36. project_close (::quartus::project)
4.1.28.37. project_exists (::quartus::project)
4.1.28.38. project_new (::quartus::project)
4.1.28.39. project_open (::quartus::project)
4.1.28.40. project_restore (::quartus::project)
4.1.28.41. remove_all_global_assignments (::quartus::project)
4.1.28.42. remove_all_instance_assignments (::quartus::project)
4.1.28.43. remove_all_parameters (::quartus::project)
4.1.28.44. resolve_file_path (::quartus::project)
4.1.28.45. revision_exists (::quartus::project)
4.1.28.46. set_current_revision (::quartus::project)
4.1.28.47. set_global_assignment (::quartus::project)
4.1.28.48. set_high_effort_fmax_optimization_assignments (::quartus::project)
4.1.28.49. set_instance_assignment (::quartus::project)
4.1.28.50. set_io_assignment (::quartus::project)
4.1.28.51. set_location_assignment (::quartus::project)
4.1.28.52. set_parameter (::quartus::project)
4.1.28.53. set_power_file_assignment (::quartus::project)
4.1.28.54. set_revision_description (::quartus::project)
4.1.28.55. set_user_option (::quartus::project)
4.1.28.56. test_assignment_trait (::quartus::project)
4.1.30.1. assignment_group (::quartus::project_ui)
4.1.30.2. delete_revision (::quartus::project_ui)
4.1.30.3. execute_assignment_batch (::quartus::project_ui)
4.1.30.4. export_assignments (::quartus::project_ui)
4.1.30.5. get_all_assignment_names (::quartus::project_ui)
4.1.30.6. get_all_assignments (::quartus::project_ui)
4.1.30.7. get_all_global_assignments (::quartus::project_ui)
4.1.30.8. get_all_instance_assignments (::quartus::project_ui)
4.1.30.9. get_all_parameters (::quartus::project_ui)
4.1.30.10. get_all_quartus_defaults (::quartus::project_ui)
4.1.30.11. get_all_user_option_names (::quartus::project_ui)
4.1.30.12. get_assignment_info (::quartus::project_ui)
4.1.30.13. get_assignment_name_info (::quartus::project_ui)
4.1.30.14. get_current_project (::quartus::project_ui)
4.1.30.15. get_current_revision (::quartus::project_ui)
4.1.30.16. get_global_assignment (::quartus::project_ui)
4.1.30.17. get_instance_assignment (::quartus::project_ui)
4.1.30.18. get_location_assignment (::quartus::project_ui)
4.1.30.19. get_parameter (::quartus::project_ui)
4.1.30.20. get_project_directory (::quartus::project_ui)
4.1.30.21. get_project_revisions (::quartus::project_ui)
4.1.30.22. get_user_option (::quartus::project_ui)
4.1.30.23. is_project_open (::quartus::project_ui)
4.1.30.24. project_archive (::quartus::project_ui)
4.1.30.25. project_close (::quartus::project_ui)
4.1.30.26. project_exists (::quartus::project_ui)
4.1.30.27. project_new (::quartus::project_ui)
4.1.30.28. project_open (::quartus::project_ui)
4.1.30.29. project_restore (::quartus::project_ui)
4.1.30.30. remove_all_global_assignments (::quartus::project_ui)
4.1.30.31. remove_all_instance_assignments (::quartus::project_ui)
4.1.30.32. remove_all_parameters (::quartus::project_ui)
4.1.30.33. resolve_file_path (::quartus::project_ui)
4.1.30.34. revision_exists (::quartus::project_ui)
4.1.30.35. set_current_revision (::quartus::project_ui)
4.1.30.36. set_global_assignment (::quartus::project_ui)
4.1.30.37. set_instance_assignment (::quartus::project_ui)
4.1.30.38. set_io_assignment (::quartus::project_ui)
4.1.30.39. set_location_assignment (::quartus::project_ui)
4.1.30.40. set_parameter (::quartus::project_ui)
4.1.30.41. set_power_file_assignment (::quartus::project_ui)
4.1.30.42. set_user_option (::quartus::project_ui)
4.1.30.43. test_assignment_trait (::quartus::project_ui)
4.1.31.1. qed::add_projects_from_archive (::quartus::qed)
4.1.31.2. qed::apply_compute_spec (::quartus::qed)
4.1.31.3. qed::check_properties (::quartus::qed)
4.1.31.4. qed::check_properties_of_projects (::quartus::qed)
4.1.31.5. qed::compile (::quartus::qed)
4.1.31.6. qed::create_object (::quartus::qed)
4.1.31.7. qed::delete_object (::quartus::qed)
4.1.31.8. qed::delete_object_report_panel (::quartus::qed)
4.1.31.9. qed::disconnect (::quartus::qed)
4.1.31.10. qed::find_projects_under_directory (::quartus::qed)
4.1.31.11. qed::fork_new_revision (::quartus::qed)
4.1.31.12. qed::fork_new_seeds (::quartus::qed)
4.1.31.13. qed::generate_default_reports (::quartus::qed)
4.1.31.14. qed::generate_report (::quartus::qed)
4.1.31.15. qed::get_all_properties_dict (::quartus::qed)
4.1.31.16. qed::get_default_group_id (::quartus::qed)
4.1.31.17. qed::get_dvrs (::quartus::qed)
4.1.31.18. qed::get_object_report_panel_contents (::quartus::qed)
4.1.31.19. qed::get_object_report_panel_names (::quartus::qed)
4.1.31.20. qed::get_objects (::quartus::qed)
4.1.31.21. qed::get_project_report_panel_names (::quartus::qed)
4.1.31.22. qed::get_property (::quartus::qed)
4.1.31.23. qed::get_property_of_projects (::quartus::qed)
4.1.31.24. qed::get_return_value (::quartus::qed)
4.1.31.25. qed::get_user_data (::quartus::qed)
4.1.31.26. qed::has_property (::quartus::qed)
4.1.31.27. qed::import_from_dse (::quartus::qed)
4.1.31.28. qed::import_report_panel (::quartus::qed)
4.1.31.29. qed::import_report_panel_names (::quartus::qed)
4.1.31.30. qed::is_connected (::quartus::qed)
4.1.31.31. qed::is_workspace_open (::quartus::qed)
4.1.31.32. qed::launch_connection (::quartus::qed)
4.1.31.33. qed::list_properties (::quartus::qed)
4.1.31.34. qed::load_db_state (::quartus::qed)
4.1.31.35. qed::merge_workspaces (::quartus::qed)
4.1.31.36. qed::object_has_report_panel (::quartus::qed)
4.1.31.37. qed::open_project (::quartus::qed)
4.1.31.38. qed::pop_from_property (::quartus::qed)
4.1.31.39. qed::push_to_property (::quartus::qed)
4.1.31.40. qed::refresh_reports (::quartus::qed)
4.1.31.41. qed::run_analysis (::quartus::qed)
4.1.31.42. qed::run_as_batch (::quartus::qed)
4.1.31.43. qed::run_command (::quartus::qed)
4.1.31.44. qed::run_dvrs (::quartus::qed)
4.1.31.45. qed::sanitize_workspace (::quartus::qed)
4.1.31.46. qed::set_properties (::quartus::qed)
4.1.31.47. qed::set_property (::quartus::qed)
4.1.31.48. qed::set_user_data (::quartus::qed)
4.1.31.49. qed::wait_for_ready (::quartus::qed)
4.1.31.50. qed::workspace_close (::quartus::qed)
4.1.31.51. qed::workspace_new (::quartus::qed)
4.1.31.52. qed::workspace_open (::quartus::qed)
4.1.31.53. qed::workspace_save (::quartus::qed)
4.1.31.54. qed::write_all_reports_to_file (::quartus::qed)
4.1.31.55. qed::write_object_reports_to_file (::quartus::qed)
4.1.33.1. qshm_connect_to_quartus (::quartus::qshm)
4.1.33.2. qshm_disconnect_from_quartus (::quartus::qshm)
4.1.33.3. qshm_dispose_client (::quartus::qshm)
4.1.33.4. qshm_get_hub_key_prefix (::quartus::qshm)
4.1.33.5. qshm_get_parent_hub_key (::quartus::qshm)
4.1.33.6. qshm_obtain_client (::quartus::qshm)
4.1.33.7. qshm_send_request (::quartus::qshm)
4.1.33.8. qshm_send_server_state_query (::quartus::qshm)
4.1.33.9. qshm_set_context (::quartus::qshm)
4.1.34.1. add_row_to_table (::quartus::report)
4.1.34.2. create_report_panel (::quartus::report)
4.1.34.3. delete_report_panel (::quartus::report)
4.1.34.4. get_fitter_resource_usage (::quartus::report)
4.1.34.5. get_number_of_columns (::quartus::report)
4.1.34.6. get_number_of_rows (::quartus::report)
4.1.34.7. get_report_panel_column_index (::quartus::report)
4.1.34.8. get_report_panel_data (::quartus::report)
4.1.34.9. get_report_panel_id (::quartus::report)
4.1.34.10. get_report_panel_names (::quartus::report)
4.1.34.11. get_report_panel_row (::quartus::report)
4.1.34.12. get_report_panel_row_index (::quartus::report)
4.1.34.13. load_report (::quartus::report)
4.1.34.14. read_xml_report (::quartus::report)
4.1.34.15. refresh_report_window (::quartus::report)
4.1.34.16. save_report_database (::quartus::report)
4.1.34.17. unload_report (::quartus::report)
4.1.34.18. write_ascii_report (::quartus::report)
4.1.34.19. write_report_panel (::quartus::report)
4.1.34.20. write_report_timing_waveform (::quartus::report)
4.1.34.21. write_xml_report (::quartus::report)
4.1.35.1. rpc::configure_max_jobs_for_compute_spec_type (::quartus::rpc)
4.1.35.2. rpc::create_kube_compute_spec (::quartus::rpc)
4.1.35.3. rpc::create_local_compute_spec (::quartus::rpc)
4.1.35.4. rpc::create_lsf_compute_spec (::quartus::rpc)
4.1.35.5. rpc::create_pbspro_compute_spec (::quartus::rpc)
4.1.35.6. rpc::create_slurm_compute_spec (::quartus::rpc)
4.1.35.7. rpc::create_ssh_compute_spec (::quartus::rpc)
4.1.35.8. rpc::get_all_compute_spec_types (::quartus::rpc)
4.1.35.9. rpc::get_max_jobs_for_compute_spec_type (::quartus::rpc)
4.1.36.1. all_clocks (::quartus::sdc)
4.1.36.2. all_inputs (::quartus::sdc)
4.1.36.3. all_outputs (::quartus::sdc)
4.1.36.4. all_registers (::quartus::sdc)
4.1.36.5. create_clock (::quartus::sdc)
4.1.36.6. create_generated_clock (::quartus::sdc)
4.1.36.7. derive_clocks (::quartus::sdc)
4.1.36.8. get_cells (::quartus::sdc)
4.1.36.9. get_clocks (::quartus::sdc)
4.1.36.10. get_nets (::quartus::sdc)
4.1.36.11. get_pins (::quartus::sdc)
4.1.36.12. get_ports (::quartus::sdc)
4.1.36.13. remove_clock_groups (::quartus::sdc)
4.1.36.14. remove_clock_latency (::quartus::sdc)
4.1.36.15. remove_clock_uncertainty (::quartus::sdc)
4.1.36.16. remove_disable_timing (::quartus::sdc)
4.1.36.17. remove_input_delay (::quartus::sdc)
4.1.36.18. remove_output_delay (::quartus::sdc)
4.1.36.19. reset_design (::quartus::sdc)
4.1.36.20. set_clock_groups (::quartus::sdc)
4.1.36.21. set_clock_latency (::quartus::sdc)
4.1.36.22. set_clock_uncertainty (::quartus::sdc)
4.1.36.23. set_disable_timing (::quartus::sdc)
4.1.36.24. set_false_path (::quartus::sdc)
4.1.36.25. set_input_delay (::quartus::sdc)
4.1.36.26. set_input_transition (::quartus::sdc)
4.1.36.27. set_max_delay (::quartus::sdc)
4.1.36.28. set_max_time_borrow (::quartus::sdc)
4.1.36.29. set_min_delay (::quartus::sdc)
4.1.36.30. set_multicycle_path (::quartus::sdc)
4.1.36.31. set_output_delay (::quartus::sdc)
4.1.37.1. derive_clock_uncertainty (::quartus::sdc_ext)
4.1.37.2. derive_pll_clocks (::quartus::sdc_ext)
4.1.37.3. disable_min_pulse_width (::quartus::sdc_ext)
4.1.37.4. get_fanins (::quartus::sdc_ext)
4.1.37.5. get_fanouts (::quartus::sdc_ext)
4.1.37.6. get_keepers (::quartus::sdc_ext)
4.1.37.7. get_nodes (::quartus::sdc_ext)
4.1.37.8. get_partitions (::quartus::sdc_ext)
4.1.37.9. get_registers (::quartus::sdc_ext)
4.1.37.10. remove_annotated_delay (::quartus::sdc_ext)
4.1.37.11. remove_clock (::quartus::sdc_ext)
4.1.37.12. reset_timing_derate (::quartus::sdc_ext)
4.1.37.13. set_annotated_delay (::quartus::sdc_ext)
4.1.37.14. set_data_delay (::quartus::sdc_ext)
4.1.37.15. set_max_skew (::quartus::sdc_ext)
4.1.37.16. set_net_delay (::quartus::sdc_ext)
4.1.37.17. set_scc_mode (::quartus::sdc_ext)
4.1.37.18. set_time_format (::quartus::sdc_ext)
4.1.37.19. set_timing_derate (::quartus::sdc_ext)
4.1.38.1. add_to_collection (::quartus::sta)
4.1.38.2. check_timing (::quartus::sta)
4.1.38.3. compute_synchronizer_protection_length (::quartus::sta)
4.1.38.4. create_report_histogram (::quartus::sta)
4.1.38.5. create_slack_histogram (::quartus::sta)
4.1.38.6. create_timing_netlist (::quartus::sta)
4.1.38.7. create_timing_summary (::quartus::sta)
4.1.38.8. delete_sta_collection (::quartus::sta)
4.1.38.9. delete_timing_netlist (::quartus::sta)
4.1.38.10. enable_ccpp_removal (::quartus::sta)
4.1.38.11. enable_sdc_extension_collections (::quartus::sta)
4.1.38.12. get_available_operating_conditions (::quartus::sta)
4.1.38.13. get_cell_info (::quartus::sta)
4.1.38.14. get_clock_domain_info (::quartus::sta)
4.1.38.15. get_clock_fmax_info (::quartus::sta)
4.1.38.16. get_clock_info (::quartus::sta)
4.1.38.17. get_clock_pair_info (::quartus::sta)
4.1.38.18. get_datasheet (::quartus::sta)
4.1.38.19. get_default_sdc_file_names (::quartus::sta)
4.1.38.20. get_edge_info (::quartus::sta)
4.1.38.21. get_entity_instances (::quartus::sta)
4.1.38.22. get_min_pulse_width (::quartus::sta)
4.1.38.23. get_net_info (::quartus::sta)
4.1.38.24. get_node_info (::quartus::sta)
4.1.38.25. get_object_info (::quartus::sta)
4.1.38.26. get_operating_conditions (::quartus::sta)
4.1.38.27. get_operating_conditions_info (::quartus::sta)
4.1.38.28. get_partition_info (::quartus::sta)
4.1.38.29. get_path (::quartus::sta)
4.1.38.30. get_path_info (::quartus::sta)
4.1.38.31. get_pin_info (::quartus::sta)
4.1.38.32. get_point_info (::quartus::sta)
4.1.38.33. get_port_info (::quartus::sta)
4.1.38.34. get_register_info (::quartus::sta)
4.1.38.35. get_timing_paths (::quartus::sta)
4.1.38.36. import_sdc (::quartus::sta)
4.1.38.37. is_post_syn_sta (::quartus::sta)
4.1.38.38. locate (::quartus::sta)
4.1.38.39. print_total_sdc_processing_time (::quartus::sta)
4.1.38.40. query_collection (::quartus::sta)
4.1.38.41. read_sdc (::quartus::sta)
4.1.38.42. register_delete_timing_netlist_callback (::quartus::sta)
4.1.38.43. remove_from_collection (::quartus::sta)
4.1.38.44. report_advanced_io_timing (::quartus::sta)
4.1.38.45. report_asynch_cdc (::quartus::sta)
4.1.38.46. report_bottleneck (::quartus::sta)
4.1.38.47. report_cdc_viewer (::quartus::sta)
4.1.38.48. report_clock_fmax_summary (::quartus::sta)
4.1.38.49. report_clock_network (::quartus::sta)
4.1.38.50. report_clock_transfers (::quartus::sta)
4.1.38.51. report_clocks (::quartus::sta)
4.1.38.52. report_datasheet (::quartus::sta)
4.1.38.53. report_ddr (::quartus::sta)
4.1.38.54. report_exceptions (::quartus::sta)
4.1.38.55. report_ini_usage (::quartus::sta)
4.1.38.56. report_logic_depth (::quartus::sta)
4.1.38.57. report_max_clock_skew (::quartus::sta)
4.1.38.58. report_max_skew (::quartus::sta)
4.1.38.59. report_metastability (::quartus::sta)
4.1.38.60. report_min_pulse_width (::quartus::sta)
4.1.38.61. report_neighbor_paths (::quartus::sta)
4.1.38.62. report_net_delay (::quartus::sta)
4.1.38.63. report_net_timing (::quartus::sta)
4.1.38.64. report_partitions (::quartus::sta)
4.1.38.65. report_path (::quartus::sta)
4.1.38.66. report_pipelining_info (::quartus::sta)
4.1.38.67. report_register_spread (::quartus::sta)
4.1.38.68. report_register_statistics (::quartus::sta)
4.1.38.69. report_retiming_restrictions (::quartus::sta)
4.1.38.70. report_route_net_of_interest (::quartus::sta)
4.1.38.71. report_rskm (::quartus::sta)
4.1.38.72. report_sdc (::quartus::sta)
4.1.38.73. report_skew (::quartus::sta)
4.1.38.74. report_tccs (::quartus::sta)
4.1.38.75. report_timing (::quartus::sta)
4.1.38.76. report_timing_by_source_files (::quartus::sta)
4.1.38.77. report_timing_tree (::quartus::sta)
4.1.38.78. report_ucp (::quartus::sta)
4.1.38.79. set_operating_conditions (::quartus::sta)
4.1.38.80. timing_netlist_exist (::quartus::sta)
4.1.38.81. update_timing_netlist (::quartus::sta)
4.1.38.82. use_timing_analyzer_style_escaping (::quartus::sta)
4.1.38.83. write_sdc (::quartus::sta)
4.1.1. ::quartus::backannotate
The following table displays information for the ::quartus::backannotate Tcl package:
| Tcl Package and Version | ::quartus::backannotate 1.1 |
| Description | This package contains the set of Tcl functions for back-annotating assignments for a project. |
| Availability | This package is available for loading in the following executables:
qpro
quartus
quartus_cdb |
| Tcl Commands | get_back_annotation_assignments (::quartus::backannotate) logiclock_back_annotate (::quartus::backannotate) |