Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 12/04/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1.29. ::quartus::project_ui

The following table displays information for the ::quartus::project_ui Tcl package:

Tcl Package and Version ::quartus::project_ui 1.0
Description
This package contains no general description.
Availability
This package is loaded by default in the following executables:

    qpro
    quartus
Tcl Commands
assignment_group (::quartus::project_ui)
create_revision (::quartus::project_ui)
delete_revision (::quartus::project_ui)
execute_assignment_batch (::quartus::project_ui)
export_assignments (::quartus::project_ui)
generate_project_tcl (::quartus::project_ui)
get_all_assignment_names (::quartus::project_ui)
get_all_assignments (::quartus::project_ui)
get_all_global_assignments (::quartus::project_ui)
get_all_instance_assignments (::quartus::project_ui)
get_all_parameters (::quartus::project_ui)
get_all_quartus_defaults (::quartus::project_ui)
get_all_user_option_names (::quartus::project_ui)
get_assignment_info (::quartus::project_ui)
get_assignment_name_info (::quartus::project_ui)
get_current_project (::quartus::project_ui)
get_current_revision (::quartus::project_ui)
get_global_assignment (::quartus::project_ui)
get_instance_assignment (::quartus::project_ui)
get_location_assignment (::quartus::project_ui)
get_name_info (::quartus::project_ui)
get_names (::quartus::project_ui)
get_parameter (::quartus::project_ui)
get_project_directory (::quartus::project_ui)
get_project_revisions (::quartus::project_ui)
get_top_level_entity (::quartus::project_ui)
get_user_option (::quartus::project_ui)
is_fitter_in_qhd_mode (::quartus::project_ui)
is_project_open (::quartus::project_ui)
project_archive (::quartus::project_ui)
project_close (::quartus::project_ui)
project_exists (::quartus::project_ui)
project_new (::quartus::project_ui)
project_open (::quartus::project_ui)
project_restore (::quartus::project_ui)
remove_all_global_assignments (::quartus::project_ui)
remove_all_instance_assignments (::quartus::project_ui)
remove_all_parameters (::quartus::project_ui)
resolve_file_path (::quartus::project_ui)
revision_exists (::quartus::project_ui)
set_current_revision (::quartus::project_ui)
set_global_assignment (::quartus::project_ui)
set_instance_assignment (::quartus::project_ui)
set_io_assignment (::quartus::project_ui)
set_location_assignment (::quartus::project_ui)
set_parameter (::quartus::project_ui)
set_power_file_assignment (::quartus::project_ui)
set_user_option (::quartus::project_ui)
test_assignment_trait (::quartus::project_ui)