P-Tile Avalon® Streaming Intel® FPGA IP for PCI Express* Design Example User Guide

ID 683038
Date 4/04/2024
Public
Document Table of Contents

2.3.1.2. Running Simulations Using VCS*

To run simulations using the VCS* simulator, follow these steps:

  1. Go to the working directory <example_design>/pcie_ed_tb/pcie_ed_tb/sim/synopsys/vcs.
  2. Type sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="-xlrm\ uniq_prior_final\ -debug_all" USER_DEFINED_SIM_OPTIONS="" | tee simulation.log
    Note: The command above is a single-line command.
  3. A successful simulation ends with the following message, "Simulation stopped due to successful completion!".
    Note:
    To run a simulation in interactive mode, use the following steps: (if you already generated a simv executable in non-interactive mode, delete the simv and simv.diadir)
    1. Open the vcs_setup.sh file and add a debug option to the VCS command: vcs -debug_access+all.
    2. Compile the design example: sh vcs_setup.sh USER_DEFINED_ELAB_OPTIONS="-xlrm\ uniq_prior_final" SKIP_SIM=1.
    3. Start the simulation in interactive mode: simv -gui &.