::quartus::dni_sdc

The following table displays information for the ::quartus::dni_sdc Tcl package: