TMC-20004: Timing Paths with Setup Slack Exceeding Threshold

Description

Violations of this rule indicate timing paths with setup slack below the slack threshold parameter. Timing paths with very large negative slack complicate timing closure and can cause excessive run time. Such paths are likely not valid, and require appropriate timing constraints.

Parameters

Name Description Type Default Value Min Value Max Value
slack_threshold A violation is reported for timing paths that have slack more negative than the value of this parameter. double -5.0 0.0  

Recommendation

Ensure that each timing path is valid, and apply SDC constraints to cut the path or adjust its slack. For example:

Severity

Medium

Tags

Tag Description
impossible-requirements Design rule checks which check the requirements on failing timing paths and flag those which fail by construction.
sdc Design rule checks related to SDC validity checking.

Device Family

  • Intel Agilex®
  • Intel Agilex®
  • Intel®Stratix® 10
  • Intel®Arria® 10
  • Intel®Cyclone® 10 GX