VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) DefinitionLPM_PACK.vhd in the <Quartus® Prime Standard Edition installation directory>\libraries\vhdl\lpm directory.

component LPM_SHIFTREG

        generic (LPM_WIDTH : natural;    -- MUST be greater than 0

                                 LPM_AVALUE : string := "UNUSED";

                                 LPM_SVALUE : string := "UNUSED";

                                 LPM_PVALUE : string := "UNUSED";

                                 LPM_DIRECTION: string := "UNUSED";

                                 LPM_TYPE: string := L_SHIFTREG;

                                 LPM_HINT : string := "UNUSED");

                port (DATA : in std_logic_vector(LPM_WIDTH-1 downto 0) := (OTHERS => '0');

                          CLOCK : in std_logic;

                          ENABLE : in std_logic := '1';

                          SHIFTIN : in std_logic := '1';

                          LOAD : in std_logic := '0';

                          SCLR : in std_logic := '0';

                          SSET : in std_logic := '0';

                          ACLR : in std_logic := '0';

                          ASET : in std_logic := '0';

                          Q : out std_logic_vector(LPM_WIDTH-1 downto 0);

                          SHIFTOUT : out std_logic);

end component;