lpm_shiftreg Megafunction

Parameterized shift register megafunction. Altera recommends that you use the lpm_shiftreg function to replace all other types of shift register functions. The lpm_shiftreg megafunction is available for all Altera devices.

Altera recommends instantiating this function with the IP Catalog .

Note:
  • You can use the Assignment Editor to add, change, or delete assignments and assignment values for megafunctions.
  • When you create your megafunction, you can use the IP Catalog to generate a netlist for third-party synthesis tools.
Note: More information is available on the lpm_shiftreg megafunction on the Altera website.