More EDA Netlist Writer Settings

Opens the More EDA Netlist Writer Settings dialog box, which allows you to enable additional options for use with third-party simulation tools, such as: