Intel 18A Process Node

Intel 18A represents the latest advancement in Intel Foundry process technology.

At a Glance

  • Intel 18A transistor process technology is the earliest available 2nm class node developed and currently produced in North America, providing a resilient, on-shore supply alternative.

  • In applications like High-Performance Computing (HPC), AI, Image Signal Processing, Mobile and Baseband processors, and Aerospace and Defense, Intel 18A technology offers substantial performance and user experience enhancements.

  • Intel’s diverse process node offerings cater to a wide array of applications across multiple customer industries.

author-image

By

Intel is revolutionizing the future of silicon with the groundbreaking Intel 18A process node technology and state-of-the-art packaging. The introduction of Intel 18A with RibbonFET and PowerVia represents the most significant leap in transistor innovation since Intel introduced FinFET in 2011, offering a significant increase in performance per watt and improved cell utilization. Moreover, the addition of Foveros 3D packaging adds exceptional versatility by enabling Intel 18A to be combined with other nodes, delivering complex “systems of chips” instead of a traditional “system on chip.” This revolutionary design helps increase density and boost performance for demanding use cases.

Game-changing performance and density

Intel Foundry is the first to successfully implement both RibbonFET gate-all-around (GAA) transistors and PowerVia back-side power technology for foundry customers. These core technologies, offered together for the first time on the Intel 18A process node, deliver up to 15 percent better performance per watt and up to 30 percent better chip density versus the Intel 3 process node—performance and efficiency gains that are required to drive AI computing forward.1

PowerVia

Surging use cases like generative AI, edge computing, analytics, and mobile computing require small, densely packed, efficient transistors. As transistor density increases, mixed signal and power routing create congestion that can degrade performance. By moving power delivery to the backside of the die with PowerVia, the front-side routing space that would otherwise be used for power delivery is freed, allowing for more efficient signal routing and reduced congestion. This optimization leads to both performance and efficiency gains. Coarse pitch metals and bumps are relocated to the back side of the die with PowerVia power delivery, utilizing nano-scale through-silicon vias (nano-TSVs) embedded in every standard cell for efficient power distribution. PowerVia technology improves density and cell utilization by up to 10 percent, and it also reduces resistive power delivery droop. This results in an up to 4 percent ISO-power performance improvement and a greatly reduced inherent resistance (IR) drop, compared to a traditional front-side power design.2

Figure 1. PowerVia technology enhances density and cell utilization by up to 5 to 10 percent and minimizes resistive power-delivery droop, enabling an up to 4 percent ISO-power performance improvement and greatly reducing IR drop compared to conventional front-side power routing.2

RibbonFET

RibbonFET GAA technology delivers several improvements in transistor technology for modern applications. This revolutionary new architecture allows for precise control over the electrical current in the transistor channel, enabling further miniaturization of chip components while reducing power leakage, a critical concern as chips become increasingly dense (see Figure 2). This revolutionary flexible ribbon architecture improves performance per watt, minimum voltage (Vmin) operations, and electrostatics, delivering significant performance advantages versus traditional FinFET transistors. RibbonFET also provides high degrees of tunability through varied ribbon widths and multiple threshold voltage (Vt) types.

Figure 2. RibbonFET GAA transistors (right) offer far better electrostatics compared to FinFET transistors (left) and offer an optimized ribbon architecture for outstanding performance per watt and Vmin.

PowerVia and RibbonFET technologies, available on Intel 18A process nodes, demonstrate a powerful combination that can lead to substantial gains in computing performance and battery life in electronic devices. In addition, a full array of passives with Intel’s differentiated high-density metal-insulator-metal (Omni MIM) capacitors enhances performance and reliability. Omni MIM capacitors significantly reduce inductive power droop, enhancing stable chip operation. This is crucial for modern workloads like generative AI, which require sudden and intense computational power.

Applications and use cases

Intel 18A breakthrough technologies deliver superior levels of performance and density scaling for next-generation applications.

High-performance computing (HPC) and AI

High-performance computing (HPC) and AI applications require the highest levels of performance, with the most efficient use of power. Whether applied to a CPU, a GPU, or an AI accelerator for varied applications, the superior channel control of RibbonFET provides improved transistor performance per watt with high drive current and scaling. RibbonFET permits advanced high-density (HD) and high-current (HC) static random-access memory (SRAM) featuring read/write assist cells optimized for Vmin. As a result, customers can incorporate superior HD SRAM on chip, which reduces latency, lowers power consumption, and makes data-intensive tasks more manageable and cost effective.

Image signal processing, video, and vision with AI

For industrial applications like image signal processing and embedded vision, PowerVia back-side power delivery can have a significant impact on product design. The reduced IR drop with PowerVia, along with its improved signal routing and better cell utilization on the front side, contribute to significant power-loss reductions. RibbonFET technology’s area reductions enable the integration of more functionality into smaller chips. These area reductions are particularly beneficial for portable medical devices and compact industrial sensors. Intel 18A also provides more reliable processing in compact, scalable designs, crucial for real-time processing in battery-operated devices, while also extending battery life through enhanced energy efficiency.

Mobile and baseband processors

To address the unique needs of mobile applications, Intel offers an optimized Intel 18A-P process node. This advanced variant of Intel 18A builds on the third implementation of Intel’s RibbonFET and PowerVia technologies, featuring improved performance and optimizations for multiple voltage types. Intel Foundry uses advanced manufacturing techniques that help ensure consistent, reliable performance, while fine-tuned threshold voltages deliver exceptional power efficiency, with a significant performance-per-watt improvement over Intel 18A.3 HD and HC SRAM cells have improved Vmin, increasing energy efficiency and improving thermal management, which further contributes to overall improvements in battery life for mobile devices.

Aerospace and defense

The aerospace and defense sector is experiencing significant technological advancements, with new use cases that demand increased computing power. Applications such as autonomous defense systems, battlefield analytics, predictive maintenance, AI in space exploration, and cybersecurity require enhanced computing performance, often with stringent size, weight, power, and cost (SWaP-C) requirements. Intel 18A RibbonFET and PowerVia technologies, with low IR drop, provide the efficiency needed for power-constrained applications, while delivering substantial performance improvements compared to prior nodes.

Omni MIM capacitors improve on-die decoupling, further stabilizing power delivery by reducing voltage fluctuations and improving overall power integrity—essential for mission-critical applications. They also reduce power-supply-induced jitter in applications that use high-speed input/output (I/O) connectivity technologies, such as PCIe and Universal Chiplet Interconnect Express (UCIe), improving multi-chip system integration. Fully isolated body transistors reduce parasitic capacitance and enable more flexible analog circuit configurations, including device stacking and isolated power supply domains. Lastly, Intel 18A multi-Vt devices with low mismatch coefficients support flexible circuit designs with low-leakage and low-voltage operation. This allows designers to create more energy-efficient circuits, ideal for battery-powered or off-grid applications where conserving power is crucial.

With Intel 18A, customers benefit from:

  • The earliest available 2nm class node developed and currently produced in North America, providing a resilient supply alternative.
  • Improved performance and reduced area, vs. Intel 3, with PowerVia. Additionally, PowerVia has coarse pitch metals and bumps relocated to the back side of the die with PowerVia nano-TSVs in every standard cell.
  • Full support for industry-standard electronic design automation (EDA) tools and reference flows for RibbonFET and PowerVia, enabling a smooth transition from other technology nodes and allowing customers to start designing with PowerVia ahead of other back-side power solutions.
  • A robust assembly of more than 35 industry-leading ecosystem partners, providing capabilities across EDA tools, IPs, design services, cloud services, and aerospace and defense solutions, which helps ensure broad customer enablement to further ease adoption of Intel 18A.

Meeting unique customer needs with a broad ecosystem alliance

The Intel Foundry Accelerator Ecosystem Alliance Program unites industry-leading domain experts. This program offers Intel Foundry clients unparalleled access to cutting-edge EDA tools and reusable design blocks (IPs), with comprehensive project acceleration and augmentation services. Tailored design-implementation environments ensure that unique needs are met, driving customer innovation and success.

Process technology

With the introduction of RibbonFET, Intel Foundry continues a rich history of innovation, from strained silicon in 2002 and high-K metal gate in 2007 to delivering the first FinFET transistors in 2011. Intel is on track to extend that innovation with the introduction of Intel 14A, the next generation process node after Intel 18A. Beginning with this release, Intel Foundry will transition to high-numeric aperture (high-NA) extreme ultraviolet lithography (EUV), delivering the next step in transistor scaling.

The full portfolio of Intel Foundry process nodes, with their targeted industries and use cases, is shown in Table 1.

Table 1. Intel process nodes and industry use cases.

Start your journey with Intel Foundry

Intel Foundry is deploying a new systems foundry approach to full-stack solutions for accelerated time to market, leading the industry transition from “system on chip” to “systems of chips.” As a pioneer in enabling disaggregated, chiplet-based solutions, Intel Foundry is not only advancing packaging technology but also driving die-to-die interface standards such as UCIe, which supports both asynchronous and synchronous communication methods. Customers can combine Intel 18A with other nodes for optimal configurations using Intel Foundry’s advanced 3D packaging technologies, including Embedded Multi-die Interconnect Bridge (EMIB) 3.5D, Foveros 3D, and Foveros Direct 3D. A full suite of offerings allows Intel Foundry to deliver complex systems of chips that increase density and performance for AI accelerators and other demanding use cases. Intel Foundry also has services and ecosystem partners available to help with systems technology co-optimization (STCO), addressing broader system design needs.

To learn more about Intel Foundry, visit intel.com/foundry.

Download the PDF ›