F-Tile Low Latency 50G Ethernet Intel® FPGA IP Design Example User Guide

ID 816968
Date 4/01/2024
Public

1.5. Simulating the F-Tile Low Latency 50G Ethernet Intel® FPGA IP Design Example Testbench

Compile and simulate the design by running a simulation script from the command prompt.
Before you begin, you must complete the Support-Logic generation to generate the tile files required for simulation.
  1. At the command prompt, change the testbench simulating working directory by running the following command:
    cd <design_example_dir>/ex_50g_f/sim
  2. Run the IP setup simulation.
    ip-setup-simulation -quartus-project=../../compilation_test_design/alt_e50_f.qpf
  3. At the command prompt, change the working directory to <design_example_dir>/example_testbench.
  4. Run the simulation script for the supported simulator of your choice. The script compiles and runs the testbench in the simulator.
    Table 3.  Instructions to Simulate the Testbench
    Simulator Command
    Siemens* EDA QuestaSim* vsim -do run_vsim.do.
    Synopsys* VCS* sh run_vcs.sh
    Synopsys* VCS* MX sh run_vcsmx.sh.
    Cadence* Xcelium* sh run_xcelium.sh
    Aldec* Riviera-PRO* vsim -do run_riviera.do
A successful simulation ends with the following message:
Simulation Passed.
or
Testbench complete.
After successful completion, you can analyze the results.