Quartus® Prime Pro Edition User Guide: Getting Started

ID 683463
Date 4/01/2024
Public
Document Table of Contents

4.14. Instantiating IP Cores in HDL

Instantiate an IP core directly in your HDL code by calling the IP core name and declaring the IP core's parameters. This approach is similar to instantiating any other module, component, or subdesign. When instantiating an IP core in VHDL, you must include the associated libraries.