25G Ethernet Intel® Stratix® 10 FPGA IP User Guide

ID 683154
Date 9/15/2021
Public
Document Table of Contents

2.4. Generated File Structure

The Intel® Quartus® Prime Pro Edition software generates the following IP core output file structure.

For information about the file structure of the design example, refer to the 25G Ethernet Intel® Stratix® 10 FPGA IP Design Example User Guide.

Figure 8. IP Core Generated Files
Table 11.  IP Core Generated Files

File Name

Description

<your_ip>.ip

The Platform Designer system or top-level IP variation file. <your_ip> is the name that you give your IP variation.

<system>.sopcinfo

Describes the connections and IP component parameterizations in your Platform Designer system. You can parse its contents to get requirements when you develop software drivers for IP components.

Downstream tools such as the Nios® II Gen 2 tool chain use this file. The .sopcinfo file and the system.h file generated for the Nios® II Gen 2 tool chain include address map information for each slave relative to each master that accesses the slave. Different masters may have a different address map to access a particular slave component.

<your_ip>.cmp The VHDL Component Declaration (.cmp) file is a text file that contains local generic and port definitions that you can use in VHDL design files.

This IP core does not support VHDL. However, the Intel® Quartus® Prime software generates this file.

<your_ip>.html

A report that contains connection information, a memory map showing the address of each slave with respect to each master to which it is connected, and parameter assignments.

<your_ip>_generation.rpt IP or Platform Designer generation log file. A summary of the messages during IP generation.
<your_ip>.qgsimc Lists simulation parameters to support incremental regeneration.
<your_ip>.qgsynthc Lists synthesis parameters to support incremental regeneration.
<your_ip>.qip

Contains all the required information about the IP component to integrate and compile the IP component in the Intel® Quartus® Prime Pro Edition software.

<your_ip>.csv Contains information about the upgrade status of the IP component.

<your_ip>.bsf

A Block Symbol File (.bsf) representation of the IP variation for use in Intel® Quartus® Prime Pro Edition Block Diagram Files (.bdf).

<your_ip>.spd

Required input file for ip-make-simscript to generate simulation scripts for supported simulators. The .spd file contains a list of files generated for simulation, along with information about memories that you can initialize.

<your_ip>.ppf The Pin Planner File (.ppf) stores the port and node assignments for IP components created for use with the Pin Planner.
<your_ip>_bb.v You can use the Verilog black-box (_bb.v) file as an empty module declaration for use as a black box.
<your_ip>_inst.v and _inst.vhd HDL example instantiation template. You can copy and paste the contents of this file into your HDL file to instantiate the IP variation.

This IP core does not support VHDL. However, the Intel® Quartus® Prime Pro Edition software generates the _inst.vhd file.

<your_ip>.regmap If IP contains register information, .regmap file generates. The .regmap file describes the register map information of master and slave interfaces. This file complements the .sopcinfo file by providing more detailed register information about the system. This enables register display views and user customizable statistics in the System Console.
<your_ip>.svd

Allows hard processor system (HPS) System Debug tools to view the register maps of peripherals connected to HPS within a Platform Designer system.

During synthesis, the .svd files for slave interfaces visible to System Console masters are stored in the .sof file in the debug section. System Console reads this section, which Platform Designer can query for register map information. For system slaves, Platform Designer can access the registers by name.

synth/ <your_ip>.v or < synth/ <your_ip>.vhd Top-level IP synthesis HDL files that instantiate each submodule or child IP core for synthesis.

This IP core does not support VHDL. However, the Intel® Quartus® Prime software generates this file.

sim/<your_ip>.v or .vhd

Top-level simulation files that instantiate each submodule or child IP core for simulation.

This IP core does not support VHDL. However, the Intel® Quartus® Prime Pro Edition software generates this file.

sim/ mentor/

Contains a ModelSim script msim_setup.tcl to set up and run a simulation.

sim/ aldec/

Contains a Riviera-PRO script rivierapro_setup.tcl to setup and run a simulation.

sim/ synopsys/vcs/

sim/ synopsys/vcsmx/

Contains a shell script vcs_setup.sh to set up and run a VCS® simulation.

Contains a shell script vcsmx_setup.sh and synopsys_sim.setup file to set up and run a VCS MX® simulation.

sim/xcelium/

Contains a shell script xcelium_setup.sh and other setup files to set up and run an xcelium simulation.

<child IP cores>/ For each generated child IP core directory, Platform Designer generates synth/ and sim/ sub-directories.