Article ID: 000079792 Content Type: Troubleshooting Last Reviewed: 12/31/2014

CPRI v6.0 IP Core VHDL Variations That Target an Arria V GZ or Stratix V Device Cannot Simulate With the Synopsys VCS-MX Simulator

Environment

    Quartus® II Subscription Edition
    Simulation
BUILT IN - ARTICLE INTRO SECOND COMPONENT

Critical Issue

Description

VHDL variations of the CPRI v6.0 IP core that target an Arria V GZ or Stratix V device cannot simulate with the Synopsys VCS-MX simulator.

Resolution

To avoid this issue, you can simulate the IP core with the Mentor Graphics ModelSim simulator.

Alternatively, you can work around this issue with some manual modifications to the generated RTL code. After you generate your design, you must manually modify the pll_sim.vhd file that the external TX PLL IP core generates, before simulating your design.

You must modify the type in the declarations and logic for the pll_fb_sw, fboutclk, and hclk signals to std_logic_vector, by making the following changes in the file:

In the component declaration, replace this text

pll_fb_sw : in std_logic := \'X\'; fboutclk :out std_logic; hclk : out std_logic

with this text:

pll_fb_sw : in std_logic_vector(0 downto 0) := (others => \'X\'); fboutclk :out std_logic_vector(0 downto 0); hclk : out std_logic_vector(0 downto 0);

In the port map, replace this text

pll_fb_sw => \'0\',

with this text:

pll_fb_sw => "0",

This issue is fixed in version 14.1 of the CPRI v6.0 IP core.

Related Products

This article applies to 1 products

Intel® Programmable Devices

1