Article ID: 000076623 Content Type: Troubleshooting Last Reviewed: 07/15/2020

Why do both rx_clk and tx_clk output of the Intel® FPGA Triple-Speed Ethernet IP core stop after about 1.7 sec in the simulation?

Environment

  • Intel® Quartus® Prime Standard Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Critical Issue

    Description

    Due to a problem with the simulation model of the Intel® FPGA Triple-Speed Ethernet IP core, both rx_clk and tx_clk output of the Intel® FPGA Triple-Speed Ethernet IP core stop after about 1.7 sec in the simulation.
    This is due to the MSB of the internal 32-bits clock counter not toggled.
    This problem can be seen in only simulation. 

    Resolution

    There is no workaround for this problem.

    This problem is fixed starting with the Intel® Quartus® Prime Standard Edition software version 21.1.

    Related Products

    This article applies to 3 products

    Cyclone® V FPGAs and SoC FPGAs
    Arria® V FPGAs and SoC FPGAs
    Stratix® V FPGAs