Article ID: 000086519 Content Type: Error Messages Last Reviewed: 12/29/2022

Internal Error: Sub-system: CDB_SGATE, File: /quartus/db/cdb_sgate/cdb_sgate_sys.cpp, Line: 3584

Environment

  • Intel® Quartus® Prime Pro Edition
  • Intel® Arria® 10 Cyclone® 10 Hard IP for PCI Express
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    Due to a problem in the Intel® Quartus® Prime Pro Edition Software version 18.0 Update 1 and earlier, you might see this error at the Analysis & Synthesis stage.

    Resolution

    To work around this problem, fix the Warning ID:16788 by assigning a value to the specified net.

    Warning ID:16788 Net "<name>" does not have a driver at <location>

    Fix:

    <name> =  1'b0 ;   // set the net to ground.

    This problem is fixed starting with the Intel® Quartus® Prime Pro Edition Software version 18.1.

    Related Products

    This article applies to 1 products

    Intel® Programmable Devices