Article ID: 000081769 Content Type: Troubleshooting Last Reviewed: 09/25/2014

Why does SignalTap II Logic Analyzer display 'Program the device to continue' message even though the design was compiled with the latest SignalTap II file(.stp)?

Environment

  • Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    Due to a problem in the Quartus® II software version 14.0 and later, you may see the message 'Program the device to continue' and the following error message when you run SignalTap™ II Logic Analyzer on a design which was compiled with old SignalTap II libraries located in the ./megafunctions folder.

    Error (261009): Cannot run SignalTap II Logic Analyzer. SignalTap II File is not compatible with the file programmed in your device. The expected compatibility checksum value is [SOF Checksum]; the value read from your device is 0xA1B2C3D4

    Resolution

    To work around this problem, remove the ./megafunctions folder from your Quartus II project so that the Quartus II software uses correct version of the SignalTap II libraries.

    This problem is scheduled to be fixed in a future release of the Quartus II software.

    Related Products

    This article applies to 1 products

    Intel® Programmable Devices