Article ID: 000075507 Content Type: Troubleshooting Last Reviewed: 05/20/2015

Low Latency 40-100GbE CAUI-4 Testbench Cannot Simulate Successfully in ModelSim Simulator

Environment

  • Quartus® II Subscription Edition
  • Simulation
  • Ethernet
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Critical Issue

    Description

    The Altera-provided testbench generated with the Low Latency 40-100GbE IP core CAUI-4 variations cannot simulate successfully in the ModelSim simulator.

    Resolution

    This issue has no general workaround tested for all affected IP core versions. However, the following workaround is available for version 15.0 of the IP core:

    1. Generate the testbench for your CAUI-4 IP core variation. Instructions are available in the Low Latency 40- and 100-Gbps Ethernet MAC and PHY MegaCore Function User Guide.
    2. Open the run_vsim.do script in the location specified in the user guide. The script includes a statement that identifies the path to the msim_setup.tcl file.
    3. Open the msim_setup.tcl file in a text editor.
    4. In the elab and elab_debug tasks, replace the text eval vsim -t ps with the text eval vsim -t 100fs.
    5. Run the testbench according to the instructions in the user guide. The testbench should simulate successfully.

    This issue will be fixed in a future version of the Low Latency 40- and 100-Gbps Ethernet MAC and PHY MegaCore function.

    Related Products

    This article applies to 1 products

    Intel® Programmable Devices