import_sdc (::quartus::sta)

The following table displays information for the import_sdc Tcl command:

Tcl Package and Version

Belongs to ::quartus::sta 1.0

Syntax import_sdc [-h | -help] [-long_help]
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
Description
Reads SDCs from synthesized database directly.
Example Usage
project_new test
create_timing_netlist

# Read SDC commands
import_sdc

update_timing_netlist

report_timing

delete_timing_netlist
project_close
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.