get_nodes (::quartus::sdc_ext)

The following table displays information for the get_nodes Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc_ext 2.0

Syntax get_nodes [-h | -help] [-long_help] [-include_generated_clocks] [-no_duplicates] [-nocase] [-nowarn] [-of_clocks] [ <filter> ]
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-include_generated_clocks Includes generated clocks derived from the matched clocks. This option can only be used in conjunction with the -of_clocks option.
-no_duplicates Do not match duplicated node names
-nocase Specifies the matching of node names (or clock names if the -of_clocks option is used) to be case-insensitive
-nowarn Do not issue warning messages about unmatched patterns
-of_clocks Returns nodes that are on the clock domains of the specified clock names
<filter> Valid destinations (string patterns are matched using Tcl string matching)
Description
Returns a collection of nodes in the design.

The default matching scheme returns not only nodes
whose names match the specified filter, but also nodes
duplicated from these nodes (refers to cells are
automatically generated by Quartus from these
nodes). Use the -no_duplicates option to not include
duplicated nodes. If the -of_clocks option is used, the
nodes returned are limited to those on the clock domains
of clocks whose names match the specified filter.

The filter for the collection is a Tcl list of
wildcards, and must follow standard Tcl or
Timing Analyzer-extension substitution rules. See help for
the use_timing_analyzer_style_escaping command for details.
Example Usage
project_open chiptrip
create_timimg_netlist

set nodes [get_nodes *name*]
foreach_in_collection node $nodes {
    puts [get_object_info -name $node]
}

delete_timing_netlist
project_close
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: The -include_generated_clocks option can only be used when the -of_clocks option is used as well
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.