::quartus::dut

The following table displays information for the ::quartus::dut Tcl package:

Tcl Package and Version ::quartus::dut 1.0
Description
This package contains no general description.
Availability
This package is available for loading in the following executables:

    qpro
    quartus
    quartus_cdb
    quartus_fit
    quartus_sta
    quartus_syn
Tcl Commands