::quartus::device

The following table displays information for the ::quartus::device Tcl package:

Tcl Package and Version ::quartus::device 1.0
Description
This package contains the set of Tcl functions
for accessing information from the Quartus Prime 
device database.
Availability
This package is loaded by default in the following executables:

    qpro_sh
    quartus_cdb
    quartus_eda
    quartus_fit
    quartus_ipgenerate
    quartus_sh
    quartus_sim
    quartus_sta
    quartus_syn

This package is available for loading in the following executables:

    qpro
    quartus
    quartus_si
Tcl Commands
get_family_list
get_part_info
get_part_list
report_device_info
report_family_info
report_part_info