::quartus::dcmd_sdc

The following table displays information for the ::quartus::dcmd_sdc Tcl package:

Tcl Package and Version ::quartus::dcmd_sdc 1.0
Description
This package contains no general description.
Availability
This package is available for loading in the following executables:

    quartus
    quartus_sh
    quartus_sta
    quartus_syn
Tcl Commands
dni::all_inputs
dni::all_outputs
dni::current_design
dni::current_instance
dni::get_cells
dni::get_designs
dni::get_nets
dni::get_pins
dni::get_ports