ID:22207 The configuration pin assignment <name> has invalid value <number>.

CAUSE: The assigned value for the configuration pin(s) is invalid in the Quartus Setting File.

ACTION: Correct the SDM_IO assignment using the Device and Pin Option GUI and compile the design again.