Collection

Specifies one of the following collection types:

  • get_cells— Returns a collection of cells in the design. All cell names in the collection match the specified pattern. You can use wildcards to select to multiple cells at once.
  • get_clocks— Returns a collection of clocks in the design. When used as an argument to another command, such as -from or -to of set_multicycle_path, each node in the clock represents all nodes clocked by the clocks in the collection. Otherwise, the default setting for this filter uses the specific node (even if it is a clock) as the target of a command.
  • get_keepers— Returns a collection of keeper Definition nodes (non-combinational nodes) in the design.
  • get_nets— Returns a collection of net Definition in the design. All net names in the collection match the specified pattern. You can use wildcards to select multiple nodes at once.
  • get_nodes— Returns a collection of node Definition in the design.
  • get_pins— Returns a collection of pin Definition in the design. All pin names in the collection match the specified pattern. You can use wildcards to select multiple pins at once.
  • get_ports— Returns a collection of port Definition (design inputs and outputs) in the design.
  • get_registers— Returns a collection of register Definition in the design.
Scripting Information

Keyword: get_cells

get_clocks

get_keepers

get_nodes

get_nodes

get_pins

get_ports

get_registers