Auto Open-Drain Pins logic option

Directs the Compiler to automatically convert a tri-state buffer with a strong low data input into the equivalent open-drain buffer, as illustrated in the following code example:

IF (EN = '0') THEN
   OD_Pin <= 'Z';
ELSE
   OD_Pin <= '0';
END IF;

This option cannot be used with netlists that are synthesized with third-party synthesis tools. To use this option, you must turn on the Perform WYSIWYG Primitive Resynthesis logic option.

This option must be assigned to a design entity or it is ignored. This option can be used in conjunction with the Weak Pull-Up Resistor option to pull the bus to VCC.

Scripting Information

Keyword: auto_open_drain_pins

Settings: on* | off

*default