VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition ALTERA_PRIMITIVES_COMPONENTS.VHD located in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\altera directory.

component srffe

    port(

        s, r, clk, ena, clrn, prn :  in  std_logic;

        q                         :  out std_logic);

end component;