VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition ALTERA_PRIMITIVES_COMPONENTS.VHD located in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\altera directory.

component soft
    port (
        a_in : in std_logic;
        a_out : out std_logic );
end component;