VHDL LIBRARY-USE Declaration

The VHDL LIBRARY-USE declaration is not required if you use the VHDL Component Declaration. However, Intel strongly recommends that you use the VHDL LIBRARY-USE declaration instead of the local component declaration.

LIBRARY altera;

USE altera.altera_primitives_components.all;