VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition LPM_PACK.vhd in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\lpm directory.

component LPM_XOR

        generic (LPM_WIDTH : natural;    -- MUST be greater than 0

                 LPM_SIZE : natural;    -- MUST be greater than 0

                                 LPM_TYPE : string := L_XOR;

                                 LPM_HINT : string := "UNUSED");

                port (DATA : in std_logic_2D(LPM_SIZE-1 downto 0, LPM_WIDTH-1 downto 0);

                          RESULT : out std_logic_vector(LPM_WIDTH-1 downto 0));

end component;