AHDL Function Prototype (port name and order also apply to Verilog HDL)

The following AHDL function prototype is located in the AHDL Include File (.inc) Definitionlpm_xor.inc in the <Quartus® Prime installation directory>\libraries\megafunctions directory.

FUNCTION lpm_xor(data[LPM_SIZE-1..0][LPM_WIDTH-1..0])

        WITH (LPM_WIDTH, LPM_SIZE)

        RETURNS (result[LPM_WIDTH-1..0]);