AHDL Function Prototype (port name and order also apply to Verilog HDL)

The following AHDL function prototype is located in the AHDL Include File (.inc) Definitionlpm_shiftreg.inc in the <Quartus® Prime installation directory>\libraries\megafunctions directory.

FUNCTION lpm_shiftreg (data[LPM_WIDTH-1..0], clock, enable,

                shiftin, load,

                sclr, sset,

                aclr, aset)

        WITH (LPM_WIDTH, LPM_DIRECTION, LPM_AVALUE, LPM_SVALUE)

        RETURNS(q[LPM_WIDTH-1..0], shiftout);