VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition LPM_PACK.vhd in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\lpm directory.

component LPM_DECODE

        generic (LPM_WIDTH : natural;    -- MUST be greater than 0

                 LPM_DECODES : natural;    -- MUST be greater than 0

                 LPM_PIPELINE : natural := 0;

                                 LPM_TYPE : string := L_DECODE;

                                 LPM_HINT : string := "UNUSED");

                port (DATA : in std_logic_vector(LPM_WIDTH-1 downto 0);

                          CLOCK : in std_logic := '0';

                          CLKEN : in std_logic := '1';

                          ACLR : in std_logic := '0';

                          ENABLE : in std_logic := '1';

                          EQ : out std_logic_vector(LPM_DECODES-1 downto 0));

end component;