AHDL Function Prototype (port name and order also apply to Verilog HDL)

The following AHDL function prototype is located in the AHDL Include File (.inc) Definitionlpm_bustri.inc in the <Quartus® Prime installation directory>\libraries\megafunctions directory.

FUNCTION lpm_bustri(data[LPM_WIDTH-1..0],

                enabletr, enabledt)

        WITH (LPM_WIDTH)

        RETURNS (tridata[LPM_WIDTH-1..0], result[LPM_WIDTH-1..0]);