altasmi_parallel Intel® FPGA IP

Active serial memory interface parallel Intel® FPGA IP. The altasmi_parallel Intel® FPGA IP provides access, via parallel data input and output ports, to the memory of an EPCS device. The altasmi_parallel Intel® FPGA IP is available for all Intel device families supported by the Quartus® Prime software except the MAX series.

Intel recommends instantiating this function with the IP Catalog.

Note:
  • For this Intel® FPGA IP, the IP Catalog generates output files with multiple entities or modules. The top-level entity or module is located at the bottom of the file.
  • When you create your Intel® FPGA IP, you can use the IP Catalog to generate a netlist for third-party synthesis tools.
Note:

The read function is a basic feature included in all different configurations of the altasmi_parallel Intel® FPGA IP and cannot be removed.

Note: More information about the altasmi_parallel Intel® FPGA IP is available on the Altera website.