Output Ports

Port Name

Required

Description

Comments

osc

Yes

Oscillator output port.

The osc port can be used as a low-frequency general clock. The oscillator frequency range is from 3.33MHz to 5.56MHz and cannot be set by the user.