VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition ALTERA_MF_COMPONENTS.vhd in the <Quartus® Prime installation directory>\libraries\vhdl\altera_mf directory.

component altufm_i2c

        generic (

                access_mode     :       string := "READ_WRITE";

                intended_device_family  :       string := "unused";

                erase_method    :       string := "MEM_ADD";

                erase_time      :       natural := 500000000;

                fixed_device_add        : string := "UNUSED";

                lpm_file        :       string := "UNUSED";

                mem_add_erase0  :       string := "UNUSED";

                mem_add_erase1  :       string := "UNUSED";

                mem_protect     :       string := "FULL";

                memory_size     :       string := "4K";

                osc_frequency   :       natural := 180000;

                page_write_size :       natural := 16;

                program_time    :       natural := 1600000;

                write_mode      :       string := "SINGLE_BYTE";

                lpm_hint        :       string := "UNUSED";

                lpm_type        :       string := "altufm_i2c"

        );

        port(

                a0      :       in std_logic := '0';

                a1      :       in std_logic := '0';

                a2      :       in std_logic := '0';

                osc     :       out std_logic;

                scl     :       inout std_logic;

                sda     :       inout std_logic;

                wp      :       in std_logic := '0'

        );

end component;