VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition ALTERA_MF_COMPONENTS.vhd in the <Quartus® Prime installation directory>\libraries\vhdl\altera_mf directory.

component altserial_flash_loader is

        generic

                (

                enable_shared_access : STRING := "OFF";

                lpm_type             : STRING := "ALTSERIAL_FLASH_LOADER"

        );

        port

        (

                scein               : IN STD_LOGIC := '0';

                dclkin              : IN STD_LOGIC := '0';

                sdoin               : IN STD_LOGIC := '0';

                noe                 : IN STD_LOGIC := '0';

                asmi_access_granted : IN std_logic := '1';

                data0out            : OUT STD_LOGIC;

                asmi_access_request : OUT std_logic

        );

end component altserial_flash_loader;