VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition altera_mf_components.vhd in the <Quartus® Prime installation directory>\libraries\vhdl\altera_mf directory.

component altotp

generic (

intended_device_family : string := "unused";

init_data : string;

lpm_hint : string := "UNUSED";

lpm_type : string := "altotp"

);

port(

otp_clk : in std_logic;

otp_clken : in std_logic := '1';

otp_dout : out std_logic;

otp_shiftnld : in std_logic

);

end component;