Set Output Delay Dialog Box (set_output_delay)

You access this dialog box by clicking Constraints > Set Output Delay in the Timing Analyzer, or with the set_output_delay Synopsys® Design Constraints (SDC) command.

Specifies the required data arrival times at the specified output ports relative to the clock (-clock). The Clock name must refer to an actual clock signal name in the design.

You can specify output delays relative to the rising edge (default) or falling edge (-clock_fall) of the clock. The Timing Analyzer uses the maximum output delay (-max) for clock setup checks or recovery checks, and uses the minimum input delay (-min) for clock hold checks or removal checks. If you specify only the minimum or maximum delay for a given port, the same value is used for both. You can specify separate rising (-rise) and falling (-fall) times at the port. If you specify only the rise or only the fall value for a given port, the specified value is used for both rise and fall.

By default, the Timing Analyzer removes any other output delays to the port except for those with the same clock name (-clock) and clock edge (-clock_fall) specification. To specify multiple output delays relative to different clocks, turn on the Add delay (- add_delay) option.

The following sections provide more information about specifying options for this constraint:

Clock name (-clock):

Specifies the reference clock name.

Use falling clock edge (-clock_fall):

Specifies that the output delay is relative to the falling edge of the reference clock.

Output delay options (-min, -max, -rise, -fall):

Specifies options the control the calculation of output delay. The following options are available:

  • Minimum (-min)— Specifies that the constraint is minimum data arrival time.
  • Maximum (-max)— Specifies that the constraint is maximum data arrival time.
  • Rise (-rise)— Specifies that the constraint applies to the rising edge output delay at the port.
  • Fall (-fall)— Specifies that the constraints applies to the falling edge output delay at the port.

Delay value:

Specifies the delay value of the constraint.

Add delay (-add_delay):

Specifies that the delay is cumulative to any existing delay value.

Targets:

Allows you to type or select a list or collection of target output ports for the constraint. You can use the Name Finder (...) to build a collection Definition of targets.

SDC command:

Displays and allows you to enter SDC commands for the options you specify in this dialog box.