ID:19294 Synopsys Design Constraints File file not found for instance '<Instance name>': '<SDC File name>'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.

CAUSE: The Timing Analyzer requires a Synopsys Design Constraints File to define timing constraints and exceptions. During compilation, the Timing Analyzer automatically looks for any specified Synopsys Design Constraints File in the Quartus Prime Settings File (using the SDC_FILE assignment) or if none specified, will look for a Synopsys Design Constraints File named after the revision name (revision.sdc). If no Synopsys Design Constraints File is found, the Timing Analyzer will not be able to get a proper timing constraint of the design, and quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. By default, the Timing Analyzer automatically creates a derive_clocks constraint to specify a default global constraint, but the Fitter still sacrifices performance to reduce compilation time. The Synopsys Design Constraints File can also be manually read using the read_sdc Tcl command.

ACTION: Ensure that the QSF variable SDC_ENTITY_FILE exists in the .qsf file to register an SDC file to a particular entity, then recompile the design.