ID:13827 Ignored construct <name> at <location> due to previous errors

CAUSE: In a VHDL Design File (.vhd) at the specified location, you used the specified construct. However, due to other errors that occurred in this construct, Quartus Prime Integrated Synthesis ignored the construct.

ACTION: Fix the other errors in the construct.