ID:13788 VHDL error at <location>: range direction of object slice must be same as range direction of object

CAUSE: In a VHDL Design File (.vhd) at the specified location, you specified a slice of an object that has a subtype of a one-dimensional array type. However, the direction of the range you specified for the slice is different from the direction of the object range, which you specified in a Subtype Declaration. For example, the slice may have an ascending range and the object may have a descending range. The range of the slice and the range of the object must both be ascending or descending.

ACTION: Change the direction of the slice range so it is the same as the direction of the object range.