ID:13630 VHDL Case Statement error at <location>: <string> statement choices must cover all possible values of expression. 'Others' clause is needed.

CAUSE: In a Case Statement at the specified location in a VHDL Design File (.vhd), you specified choices for a Case Statement expression. However, the choices do not cover all possible values of the expression. The choices must cover all possible expression values.

ACTION: Add choices for all possible values of the expression, or add an OTHERS choice, which covers all possible values that are not included in the other Case Statement choices.