ID:13697 VHDL Type Declaration error at <location>: array type has index range of <type> type, but must have index range of discrete type

CAUSE: In a Type Declaration at the specified location in a VHDL Design File (.vhd), you specified a constrained or unconstrained index range for an array type. However, the index range has the specified floating type, which you cannot use to specify an index range. Instead, the index must have a discrete type, for example, an integer type or an enumeration type.

ACTION: Change the index range type to a discrete type.