ID:13900 VHDL error at <location>: <BUS or REGISTER> signal kind must be in Signal Declaration or Interface Signal Declaration

CAUSE: In an object declaration at the specified location in a VHDL Design File (.vhd), you used the BUS or REGISTER signal kind. However, the object declaration is not a Signal Declaration or Interface Signal Declaration. You can use the BUS or REGISTER signal kind only in Signal Declarations or Interface Signal Declarations.

ACTION: Change the object declaration to a Signal Declaration or Interface Signal Declaration, or delete the signal kind.