ID:13745 VHDL Association List error at <location>: positional associations must be listed before named associations

CAUSE: In an Association List at the specified location in a VHDL Design File (.vhd), you listed named associations before positional associations. However, you must list all positional associations in an Association List before all named associations.

ACTION: List all positional associations before all named associations.